<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

Similar documents
_USB JTAG Ver1.0 User's Manual.hwp

WebPACK 및 ModelSim 사용법.hwp

歯15-ROMPLD.PDF

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

MAX+plus II Getting Started - 무작정따라하기

Orcad Capture 9.x

Mentor_PCB설계입문

Ä¡¿ì³»ÁöÃÖÁ¾

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

슬라이드 1

DE1-SoC Board

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는

KARAAUTO_1¿ù.qxd.ps, page Normalize

슬라이드 1

105È£4fš

4S 1차년도 평가 발표자료

Microsoft PowerPoint - SY-A3PSK-V1.pptx

1

SQL Developer Connect to TimesTen 유니원아이앤씨 DB 기술지원팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 작성자

C# 언어 사양

ICAS CADWorx SPLM License 평가판설치가이드

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

Microsoft PowerPoint - AME_InstallRoutine_ver8.ppt

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Libero Overview and Design Flow

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

Microsoft PowerPoint - 30.ppt [호환 모드]

<C1A4B4E7C7D0C8B828B1B9C8B8C0D4B9FDC1B6BBE7C3B3295F3138B4EB20B4EBC5EBB7C9BCB1B0C520C0FCB8C1B0FA20C1A4C3A5BCB1B0C5C0C720C1B6B0C72DB3BBC1F62E E687770>

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L

API 매뉴얼

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

네이버블로그 :: 포스트내용 Print VMw are 에서 Linux 설치하기 (Centos 6.3, 리눅스 ) Linux 2013/02/23 22:52 /carrena/ VMware 에서 l

USB-EK001 매뉴얼

김기남_ATDC2016_160620_[키노트].key

tut_modelsim(student).hwp

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft Word - logic2005.doc

Install stm32cubemx and st-link utility

슬라이드 1

EndNote X2 초급 분당차병원도서실사서최근영 ( )

목차 1. 개요 USB 드라이버 설치 (FTDI DRIVER) FTDI DRIVER 실행파일 USB 드라이버 확인방법 DEVICE-PROGRAMMER 설치 DEVICE-PROGRAMMER

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및

Oracle Database 10g: Self-Managing Database DB TSC

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

디지털공학 5판 7-8장

(72) 발명자 이동희 서울 동작구 여의대방로44길 10, 101동 802호 (대 방동, 대림아파트) 노삼혁 서울 중구 정동길 21-31, B동 404호 (정동, 정동상 림원) 이 발명을 지원한 국가연구개발사업 과제고유번호 부처명 교육과학기술부

MPLAB C18 C

Spotlight on Oracle V10.x 트라이얼프로그램설치가이드 DELL SOFTWARE KOREA

untitled

User Guide

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

PowerPoint 프레젠테이션

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3

Microsoft PowerPoint - Install Guide[ ].ppt [호환 모드]

Special Theme _ 모바일웹과 스마트폰 본 고에서는 모바일웹에서의 단말 API인 W3C DAP (Device API and Policy) 의 표준 개발 현황에 대해서 살펴보고 관 련하여 개발 중인 사례를 통하여 이해를 돕고자 한다. 2. 웹 애플리케이션과 네이

SAS9.2_SAS_Enterprise_Miner_for_Desktop_install_guide

BMP 파일 처리

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

歯Chap1-Chap2.PDF

문서의 제목 나눔고딕B, 54pt

ºÎ·ÏB

오브젝트 스토리지를 통한 검색의 신속 정확성 확보 HDS는 계속 증가하고 장기간 존속하는 고정 콘텐츠 관리를 위 해 실제 검증을 마친 수단으로서 오브젝트 스토리지 솔루션 에 주목하고 있다. 그 가장 기본적인 레벨로서 오브젝트 스토리지 기기는 오브젝트의 스토리지를 관리하

hwp

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint - eSlim SV [ ]

C# Programming Guide - Types

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

Web Application Hosting in the AWS Cloud Contents 개요 가용성과 확장성이 높은 웹 호스팅은 복잡하고 비용이 많이 드는 사업이 될 수 있습니다. 전통적인 웹 확장 아키텍처는 높은 수준의 안정성을 보장하기 위해 복잡한 솔루션으로 구현

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

PowerPoint 프레젠테이션

untitled

Slide 1

슬라이드 제목 없음

UART Controller 구현

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

ISP and CodeVisionAVR C Compiler.hwp

APOGEE Insight_KR_Base_3P11

Dialog Box 실행파일을 Web에 포함시키는 방법

歯동작원리.PDF

6.24-9년 6월

BEA_WebLogic.hwp

4. Compass 명령어를알아보자. compass <command> [<option>, <option>, <option>.. <option>] command : 명령어. clean - Remove generated files and the sass cache. com

기존에 Windchill Program 이 설치된 Home Directory 를 선택해준다. 프로그램설치후설치내역을확인해보면 Adobe Acrobat 6.0 Support 내역을확인할수 있다.

슬라이드 제목 없음

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

ODS-FM1

Microsoft Word - Automap3

NTD36HD Manual

1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과

CONTENTS CONTENTS CONTENT 1. SSD & HDD 비교 2. SSD 서버 & HDD 서버 비교 3. LSD SSD 서버 & HDD 서버 비교 4. LSD SSD 서버 & 글로벌 SSD 서버 비교 2

CPX-E-EC_BES_C_ _ k1

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

Remote UI Guide

JDK이클립스

1) 인증서만들기 ssl]# cat > // 설명 : 발급받은인증서 / 개인키파일을한파일로저장합니다. ( 저장방법 : cat [ 개인키


Microsoft Word - AVRISP mkII 장비 운용.doc

Transcription:

VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31

Content Xilinx ISE Tool 을이용한논리합성법 Xilinx Memory (RAM/ ROM) 생성법 ModelSim 안에서 Xilinx Library 추가법 3/31 Xilinx ISE Tool 을이용한논리합성법 Create Project Add Source File Synthesize Implement Design Translate Map Place & Route Generate Programming File Generate PROM File 4/31

Xilinx ISE Tool 을이용한논리합성법 1) Create Project (File -> New Project) 한글또는특수기호는제외할것 해당 Xilinx FPGA Board 정보로입력할것 New Project 명설정및루트설정 해당 FPGA Board 의정보및시뮬레이션툴설정 5/31 Xilinx ISE Tool 을이용한논리합성법 2) Add Source File 프로젝트안에파일추가시 : ModelSim을통해검증된파일추가 ModelSim 을통해이미 1 차검증된파일을프로젝트에추가 6/31

Xilinx ISE Tool 을이용한논리합성법 3) Synthesize 논리합성시 : 합성이안되는구문또는필요없는 Signal은모두제거 1 차적인논리합성결과 Top Entity 를설정하고 Synthesize 선택 7/31 Xilinx ISE Tool 을이용한논리합성법 4) Implement Design Translate, Map, Place & Route UCF File 예 Implement Design 처리할시 : UCF File 필요 (FPGA Input/ Output Port 확인 ) Implement Design 선택후 Translate, Map, Place & Route 과정확인 Implement Design 선택 8/31

Xilinx ISE Tool 을이용한논리합성법 4) Implement Design Translate, Map, Place & Route Memory 및 Logic 사용량확인 논리합성후 Device Utilization Summary 9/31 Xilinx ISE Tool 을이용한논리합성법 5) Generate Programming File 생성된 Bit File 예 논리합성후 Generate Programming File 선택을통해 Bit 파일생성 Generate Programming File 선택 10/31

Xilinx ISE Tool 을이용한논리합성법 5) Generate PROM File 1) impact 실행 impact 아이콘 2) Create PROM File (PROM File Formatter) 선택 - 해당 FPGA Xilinx Flash/ PROM 정보를기입후 - 파일포맷 (MCS) 설정및파일명, 루트설정 Impact 실행화면 11/31 Xilinx ISE Tool 을이용한논리합성법 5) Generate PROM File Create PROM File 선택 -> Xilinx Flash/PROM -> 메모리설정 -> 파일포맷 (MCS), 파일명및루트설정 PROM 설정후파일명및루트설정 12/31

Xilinx ISE Tool 을이용한논리합성법 5) Generate PROM File 논리합성후 생성된 Bit 파일선택 MCS 생성성공화면 13/31 Xilinx ISE Tool 을이용한논리합성법 5) Generate PROM File 생성된 MCS 파일예 14/31

Xilinx ISE Tool 을이용한논리합성법 합성이되지않는 VHDL 구문 15/31 Xilinx ISE Tool 을이용한논리합성법 합성이되지않는 VHDL 예 합성이되지않는문장 16/31

Xilinx Memory 생성법 Core Generator 실행및 Project 생성 ROM (Read Only Memory) 생성 RAM (Random Access Memory) 생성 17/31 Xilinx Memory 생성법 Core Generator 실행및 Project 생성 1) Core Generator 실행 Core Generator 아이콘 2) Project 생성 (File -> New Project) -Project명기재 - 해당 FPGA 보드정보확인 New Project 설정화면 18/31

Xilinx Memory 생성법 Core Generator 실행및 Project 생성 3) Core Generator 기반의각종 Function 종류확인 New Project 설정완료후 19/31 Xilinx Memory 생성법 RAM (Random Access Memory) 생성 1) Memories & Storage Elements -> >RAM RAMs &ROMs - Block Memory or Distributed Memory 선택 Block Memory Or Distributed Memory 선택여부확인 지원해당 FPGA 사항확인 Memory 생성을위한 RAMs & ROMs Function 선택 20/31

Xilinx Memory 생성법 RAM (Random Access Memory) 생성 2) Memory 명및유형선택 Memory 명을생성할메모리종류에맞게기재할것! Dual Port RAM 선택후 dp_480x8 명칭기재 21/31 Xilinx Memory 생성법 RAM (Random Access Memory) 생성 3) Memory 사이즈설정및 Read Enable 신호표기 Memory 사이즈를 Width, Depth 로나누어표기 Read Enable 옵션설정 Memory 사이즈설정및 Read Enable 신호설정 22/31

Xilinx Memory 생성법 RAM (Random Access Memory) 생성 4) 생성후생성된파일확인 - Generate를통해생성완료 ModelSim를통해시뮬레이션할시에는.vhd 파일만있어도상관없음. 하지만논리합성시에는.vhd,.xco,.ngc 파일이있어야함. 생성된결과파일 23/31 Xilinx Memory 생성법 ROM (Read Only Memory) 생성 1) Memories & Storage Elements -> >RAM RAMs &ROMs - Block Memory or Distributed Memory 선택 Block Memory Or Distributed Memory 선택여부확인 지원해당 FPGA 사항확인 Memory 생성을위한 RAMs & ROMs Function 선택 24/31

Xilinx Memory 생성법 ROM (Read Only Memory) 생성 2) Memory 명및유형선택 Memory 명을생성할메모리종류에맞게기재할것! Single Port ROM 선택후메모리명을 rom_320x8 로기재 25/31 Xilinx Memory 생성법 ROM (Read Only Memory) 생성 3) Memory 사이즈설정및 Read Enable 신호표기 Memory 사이즈를 Width, Depth 로나누어표기 Read Enable 옵션설정 Memory 사이즈설정및 Read Enable 신호설정 26/31

Xilinx Memory 생성법 ROM (Read Only Memory) 생성 4) 초기화설정을위한 Coe 파일설정 2진수, 8진수, 16진수형식으로메모리사이즈정보에맞게 Coe파일을설정해준다. Load Init File 를 Coe 파일로설정 27/31 2 진수형식의 Coe 파일예 Xilinx Memory 생성법 ROM (Read Only Memory) 생성 5) 생성후생성된파일확인 - Generate를통해생성완료 ModelSim 를통해시뮬레이션할시에는.vhd,.mif 파일만있어도상관없음. 하지만논리합성시에는.vhd,.xco,.ngc,.coe 파일이있어야함. 생성된결과파일 28/31

ModelSim 안에서 Xilinx Library 추가법 Xilinx Core Library 생성법 (Compxlibgui.exe 실행 ) ModelSim 6.5 기준 해당 ModelSim 종류및설치위치확인! 실행 Compxlibgui 파일위치 : C: Xilinx 12.2 ISE_ DS ISE bin nt64 Compxlibgui 실행화면 29/31 ModelSim 안에서 Xilinx Library 추가법 Xilinx Core Library 생성법 (Compxlibgui.exe 실행 ) ModelSim 6.5 기준 완료시 실행 Xilinx 설치파일위치안에.cxl. mit_se.nt64.cmd 파일실행 Xilinx 설치루트샘플 : C: Xilinx 12.2 ISE_DS ISE Compxlibgui 실행화면 30/31

ModelSim 안에서 Xilinx Library 추가법 Xilinx Core Library 생성법 (Compxlibgui.exe 실행 ) ModelSim 6.5 기준 Xilinx 설치파일위치안에 Modelsim.ini 파일확인! Xilinx 파일루트샘플 : C: Xilinx 12.2 ISE_DS ISE 확인 생성된파일을 ModelSim 폴더에붙여넣기 ModelSim 설치루트샘플 : C: modeltech_6.5 Xilinx Core Library 생성법 ( 주의사항 ) Xilinx ISE 버전및 ModelSim 버전에따라생성이불가능할수있다. 31/31