반도체산업 3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업

Similar documents
반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼

Microsoft PowerPoint 산업전망_통장전부_v9.pptx

SSD, TCO(Total Cost of Ownership) 관점 수요 확대 동인 확보 TCO(Total Cost of Ownership) 관점 수요 증가 동인 확대 3D NAND의 응용처는 향후 PC에서 엔터프라이즈로 확대될 것으로 판단된다. 엔터 프라이즈 시장에서는

Industry Comment 반도체산업 Positive ( 유지 ) Top Picks 삼성전자 Buy ( 유지 ) 1,500,000 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63,000 원 ( 유지 ) Sector Index

반도체산업 Industry Comment 월이후 PC DRAM 가격약세둔화전망 1 월 PC DRAM 고정가는 29.5 달러로당사예상수준인 6% 대가격하락기록. 15 년 1 분기계절적비수기임을감안시가격하락은불가피하나 2 월이후가격하락폭둔화전망. 제

이세돌 9단, 인공지능 알파고와의 제1국에서 불계패 인공지능 알파고 vs. 인간 이세돌 이세돌 9단은 9일 구글 딥마인드 기반의 인공지능인 알파고와의 바둑 대결 제1국 에서 186수 만에 불계패하였다. 알파고는 대국 상황과 관계없이 거의 1분에서 1분 30초 안에 착수

NAND 수요전망 217 년 NAND 수요는 SSD 가견인할전망 217년 NAND 산업방향성은 3D NAND SSD이다. 수요측면부터살펴보면 217 년주요응용처인 SSD는 21% 성장예상된다. 한편 SD Card 등 Flash Card는수요성장률 로소폭성장할전망이다.

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L

Industry Comment 반도체산업 Positive ( 유지 ) DRAM / NAND 9 월상반월고정가 Flat Top Picks 삼성전자 Buy ( 유지 ) 1,600,000 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63,000 원

Spot Comment/ 자동차산업 9 월현대차그룹글로벌판매 Spot Comment Analyst 조수홍 02) , - 9월현대 / 기아차글로벌판매 (ex-factory) 는각각 38.7만대 (-2

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

NH투자증권 f

주요 LCD 패널가격추이 : 6 월하반월 39. /4 /42 인치 TV 패널제외하고전부문패널가격하락폭확대 ( 단위 : US 달러 ) Size Format Resolution 1HFeb 2HFeb 1HMar 2HMar 1HApr 2HApr 1HMay 2HMay 1HJun

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63>

Industry Comment Display 산업 Positive ( 유지 ) Top Picks LG 디스플레이 Buy ( 유지 ) 30,000 원 ( 유지 ) Sector Index IT KOSPI 80 '13.4 '1

Microsoft Word - IBSPPR doc

, Fixed Income Analyst, , (pt, 212 초 =1) 17 US HY BofA merrill lynch bond index Europe HY Asian dollar HY Asia

Microsoft PowerPoint Outlook [음식료].pptx

Industry Comment 게임산업 Positive ( 유지 ) 2014 년차이나조이참관후기 Top Picks 게임빌 Buy ( 유지 ) 130,000 원 ( 유지 ) 컴투스 Buy ( 유지 ) 105,000 원 ( 유지 ) Sector Inde

디스플레이산업 [3 월상반월 ] LCD 패널가격동향 Witsview, 3월상반월 LCD패널가격발표 : 2월하반월대비 -0.3% 하락시장조사기관인 Witsview 가 3월상반월 LCD패널가격을발표하였다. TV, 모니터, 노트PC 용 LCD패널평균가격은지난 2월하반월대비

Microsoft Word _semicon_comment_final.doc

Microsoft Word - Semicon_ doc

아이원스 ( KQ) REBOUND Company Comment 무역분쟁으로인해 8 월부터 A 사향물량감소. 그러나 10 월부터는점진 적으로물량이증가하는모습을보임. 12 월에생산부품이추가되어 19 년 1 분기에는 18 년 2 분기수준만큼의

<4D F736F F D20B9DAC0AFBEC75FB9DDB5B5C3BCBCF6B1DE5F E646F63>

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3

기업분석│현대자동차

금융당국, 3월까지 텔레마케팅 등을 통한 보험 판매 중단 요청 감독당국, 전화, SMS, 이메일 등으로 보험 모집 제한 요청 금융위원회와 관계부처는 지난 24일 개인신용정보의 불법유통, 활용 및 차단조치 를 시행하 기로 발표하였다. 불법 정보 활용 가능성이 있는 금융거

주요 LCD 패널가격추이 : 지난 6 월하반월과마찬가지로 39.5/4/42 인치 TV 패널가격만보합 ( 단위 : US 달러 ) Size Format Resolution 1HFeb 2HFeb 1HMar 2HMar 1HApr 2HApr 1HMay 2HMay 1HJun 2H

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63>

Microsoft Word - 반도체_3월 PC DRAM 고정가.doc

2. 인터넷업종컨센서스 매출액영업이익세전이익순이익 EBITDA NAVER 216 4, ,2.4 11, , ,649.9 연결 217E 46, , , , , E 52,671.

<4D F736F F D F535344B4C220C4C1C6AEB7D1B7AFB0A120C7D9BDC92E646F63>

기업분석(Update)

석유화학산업 3 분기스프레드강세의재현 Industry Comment 월이후현재까지석유화학제품스프레드가회복중으로다수의제품 스프레드가 1 분기및 2 분기평균을상회함. 역내공급축소요인이강하여 3 분기말까지스프레드강세가지속될전망 6 월중순부터석유화학제

GS 리테일 ( KS) 실적모멘텀둔화 Company Comment 분기매출 16,565 억원 (32% y-y), 영업이익 388 억원 (16% y-y) 으로컨 센서스영업이익 525 억원을크게하회하는실적발표 년에는파르 나스호텔

CONTENTS I. Prologue: 무어의법칙재구성 무어의법칙 2. 포토공정현황 : ASML 3. 3D NAND 본격화 : 엔터프라이즈향 SSD 수요증가 10 II. 3D NAND 방향 : Stack 증가 + Cell on Peri + 업체별경쟁확대...

LG 전자 ( KS) 다시찾아가는자신감 Company Note 분기영업적자기록 년에는오랜기간악재로작용하던 MC 실적 우려완화될것으로전망하는바주가부담요인해소예상. 주가정상화 전망 4 분기영업적자 352 억원기록 4 분기연결실

Microsoft PowerPoint - Industry_Semicon_IT Divergence_ final

_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63>

Microsoft PowerPoint - Monthly%20InsighT-2017_3%ec%9b%94_v8[1].pptx

Microsoft Word 하이닉스 발간_FINAL_.doc

, Analyst, , , Table of contents 2

바이오 부문 실적 개선 지연, 소재식품 역기저 효과가 부담 1분기 실적 컨센서스 하회 전망 CJ제일제당의 1분기 연결 매출액과 영업이익은 각각 3조4,636억원(+11.0%, y-y) 과 2,127억원(-5.6%, y-y)으로 컨센서스를 소폭 하회할 전망이다. CJ대한

기업개요 신세계 I&C는 신세계그룹 내 SI 및 IT서비스를 담당하는 업체로 관계사 매출 비중은 6~ 7% 수준. 설립 후 꾸준한 매 출 성장을 보여옴 매출 비중은 ITO(IT Outsourcing)사업부 38%, ITS(IT Service)사업부 28%, 유통사업부

SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2016F 2017F 매출액 - 수정후 17,126 18,850 19,642 20,467 - 수정전 18,926 19,977 21,095 - 변동률

Microsoft Word docx

<4D F736F F D2028BCF6C1A42920B9DDB5B5C3BC20B8D3BDC5B7AFB4D7202E646F6378>

, Analyst, , 2

Microsoft Word 인터넷몬슬리.docx

Microsoft Word SK하이닉스_4Q12 Preview.doc

Microsoft Word - 류제현.doc;_기업분석_ _57.doc

코웨이 ( KS) 견조한성장세지속 Company Comment 프리미엄비중증가, 신제품군일시불판매호조, 해외 ODM 매출성장 세전환등에따라견조한매출성장보임. 효율적비용제어, 핵심지표 관리또한지속. 현주가 PER 18 배수준으로, 실적안정성

Microsoft Word - HMC_Industry_북미TV판매동향_ doc

(Microsoft Word _GMeBest_200\300\317\274\261 \310\270\272\271)

<4D F736F F D20302EC0CEC6AEB7CE2BC1BEB8F1B8AEBDBAC6AE2BBCBAB0FA BCBAB0FABEF7B5A5C0CCC6AEBFCFB7E1292E646F6378>

Microsoft Word POSCO.doc

, Analyst, , , Figure 1 우리은행 12 개월 forward P/B 및 업종 대비 할증(할인) 추이, NPL 비율 추이

INDUSTRY REPORT KB RESEARCH 제약 / 바이오 미국 11 월바이오시밀러처방데이터 제약 / 바이오 Analyst 이태영 년 12 월 19 일 Remicade ( 오리지널 ) J&J WAC 기

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

2007

삼성전자실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2017F 2018F 매출액 - 수정후 200, , , ,569 - 수정전 - 200, , ,697 - 변동률

Microsoft Word - 1_GMeBest_Cover

한국타이어 ( KS) 내수회복 Vs 테네시공장안정화지연 Company Comment 미국테네시공장안정화는내년 1 분기로지연되었으나, 내수시장에서는 유통구조개선에따른회복세진행. 또한, 양호한현금흐름을기반으로 한안정적사업구조는매크로불확실성

표1. LG디스플레이 4분기실적 Preview ( 단위 : 십억원, %) 4Q17 1Q18 2Q18 3Q18 4Q18E 예상치 y-y q-q 기존추정컨센서스 1Q19F 매출액 7,126 5,675 5,611 6,12 7, ,41 7,58 5,78 영업이익

아모레 G 3 분기실적 Preview ( 단위 : 십억원, %) 3Q15 4Q15 1Q16 2Q16 3Q16E 수정후 y-y q-q 수정전컨센서스 4Q16F 매출액 1,347 1,458 1,759 1,720 1, ,678 1,735 1,837 영


33_IPTV를 만나다

13_0308_바이오



Microsoft Word doc

Microsoft Word _3

<4D F736F F D20534BC7CFC0CCB4D0BDBA5FB1E2BEF7BAEAB8AEC7C15F E646F63>

, Analyst, , Figure 1 통신사가입자추이 ( 명, 000) 60,000 LG U+ KT SKT 50,000 40,000 30,000 20,000 10,000 0 자료 : MSIP. 미래에셋증권리서치센터

,

(Microsoft Word _\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

표 월메이커별중국시장승용차판매 ( 단위 : 대, %) Company Dec 218 Dec 217 % y-y Nov 218 % m-m HMC 86,53 11, , ,9 815, Kia 43,376

Microsoft Word doc

<4D F736F F D FC0CCBAB4B0C75FBBEFBCBAB1DDC0B6B0E8BFADBBE7C1F6BAD0B0C5B7A1B4C2B0EDBCF6C0C7C7E0B8B62E646F635F737A50734D >

변동성 축소는 충격 이후 치유의 시간 Strategist 신중호 매크로 Chart ns기 9/ / / / / / IMF 구제금융 이후 변동성 금융위기 이후 변동성 1 1 9/ / / / / / 5 35

2007

2007

LG 상사 ( KS) 분기별실적편차줄인안정적성장기대 Company Comment GTL 프로젝트배제해도 GAM 석탄광증산및석탄가격강세로 2018 년 영업이익 22% y-y 성장전망. 석탄사업이익기여확대 (49%) 로분기별 실적변동성축소기대

<4D F736F F D20C0CEB5AABDBA5FB9DDB5B5C3BC20B0F8C1A4BAAFC8AD726576C3D6C1BE5F E675F662E646F63>

표 1. 삼성전자 1Q18P 영업이익 15.6 조원, 최대실적 ( 조원, %) 1Q18P YoY QoQ 1Q17 4Q17 컨센서스차이 (%) 매출액 (8.2) (1.3) 영업이익

아모레 G ( KS) 낮아진기대치를하회 Company Comment 아모레퍼시픽의실적부진과함께, 에뛰드적자전환, 이니스프리성장률 둔화등이부담으로작용. 하반기이후실적개선더디게진행될것. 에 뛰드와이니스프리브랜드성장사이클이예상보다빠르게둔화되어

CJ 대한통운 ( KS) 2017 년에도실적호조, 주가반등전망 Company Comment CJ 대한통운의 4 분기실적은안정적수준달성추정 년에도성장성 과수익성을동시에만족시킬전망. 반면최근주가는수급문제로급락 세. 글로벌부문호조와

2019 년 3 월 14 일 SK 하이닉스 (000660) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 Buy (maintain) 목표주가 현재주가 컨센서스대비 상회

한화 ( KS) 구조개편마무리, 견조한성장기대 Company Comment 분기실적은한화케미칼부진으로시장컨센서스하회추정. 그러나, 방 산과건설주도로 2019 년영업이익 6% y-y 성장할전망. 구조개편통한 사업포트폴리오강화재원확보, 계

삼성전자 OLED 실적및가동률추이 삼성디스플레이분기영업이익추이및전망 ( 십억원 ) 2, 삼성전자중소형 OLED 가동률추이및전망 (%) 1 1,5 1, (5) 1Q15 3Q15 1Q16 3Q16 1Q17 3Q17 1Q18 3Q18F 자료 :: 회사자료.

Microsoft Word - Telco K C doc

삼성 SDI 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) E 216F 217F 매출액 - 수정 후 5,474 7,824 8,662 9,161 - 수정 전 5,474 7,58 8,347 8,969 - 변동률 영업이익 -

Market Review 해외시장 마감지수 전일대비 등락률 마감지수 전일대비 등락률 국내 KOSPI 금리 국고채(년) KOSPI 미 국채(10년)

자동차산업 정책발표이후수요회복강도관건 Industry Comment 연초중국자동차시장수요부진심화. 보조금등조만간발표될자동차 정책이후의수요회복강도가관건. 단기수요회복강도가강하지않을 경우, 최근수요부진은내부적요인일가능성. 이는수요전망하향조정 요인. 어떤

, Analyst, , Figure 1 ecall * PSAP (Public Safety Answering Points) : 응급 콜센터 개념 MSD (Minimum Set of Data) : 사고 시간, 장소, 운

뉴파워프라즈마 ( KQ) 성장동력충전완료 Company Note 동사는반도체및디스플레이공정에필요한원격플라즈마세정장비 (RPG), 플라즈마전원장치 (RF Generator) 납품기업. 전방산업의투자사 이클확대와더불어경쟁사대비높은효율성을바

Microsoft Word - Handset industry K C f ed_1

Microsoft Word - 산업분석리포트 doc

Transcription:

3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업체 à 6 개업체 ) NAND 산업은현재 2D NAND 중심이나 SSD 수요증가및원가하락이진행되면서 3D NAND 업체가증가할전망 현재 3D NAND 를생산하는회사는삼성전자 1 개업체이지만 2016 년하반기이후부터는마이크론, 도시바, 인텔, 샌디스크, SK 하이닉스등 6 개업체로늘어날것으로판단됨 또한최근중국 XMC 도 27 조원이상의자금을활용하여 3D NAND 기술개발하겠다고발표한바있어 3D NAND 업체간경쟁은더욱가속화될전망 Positive ( 유지 ) PER( 배 ) PBR( 배 ) KOSPI 10.7 1.0 Sector 9.1 1.1 Sector Index 120 110 100 90 80 70 60 50 반도체 KOSPI 40 '15.5 '15.7 '15.9 '15.11 '16.1 '16.3 '16.5 업종시가총액 204,676 십억원 (Market 비중 16.6%) 주 : KRX 업종분류기준 Industry Note 2016. 5. 16 글로벌 NAND 업체들은각기상이한방식으로 3D NAND 구현전망 2016 년하반기업체별 3D NAND 진행방향을살펴보면삼성전자는 CTF(Charge Trap Flash) 방식으로 2015 년 48 단개발에이어 64 단제품을선보일전망 한편도시바및샌디스크의경우 48 단을건너뛰고 64 단진행검토중. 마이크론과인텔은 Floating Gate 방식에 Cell on Peri 구조를활용하여집적도를높일것으로판단 SK 하이닉스의경우 CTF(Charge Trap Flash) 방식으로 48 단을추진할것으로분석됨 3D NAND 수요증가및경쟁본격화로 3D NAND 투자확대전망 3D NAND 투자방향은인프라 ( 공급장치, 클린룸 ) 가우선진행되고장비 (CVD) 및소재 (Gas/Chemical) 흐름순으로수혜진행예상 평택인프라관련주 : 한양이엔지 ( 공급장치 / 스크러버 ), 신성이엔지 ( 클린룸 ) 3D NAND 장비주 : 원익 IPS(PECVD), 테스 (PECVD), 피에스케이 (Asher), 케이씨텍 (CMP) 3D NAND 소재주 : 후성 ( 에칭 Gas), 원익머트리얼즈 (CVD Gas) Analyst 이세철 02)768-7585, peter.lee@nhwm.com

3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업체 à 6 개업체 ) 3D NAND 업체 1 개에서 6 개로확대 2016년하반기부터 3D NAND 업체는 1개업체 ( 삼성전자 ) 에서마이크론, 도시바, 인텔, 샌디스크, SK하이닉스등 6개업체로늘어날전망이다. 또한최근중국 XMC 도 27조원이상의자금을활용하여 3D NAND 기술개발하겠다고발표한바있어 3D NAND 업체간경쟁은더욱가속화될전망이다. 2016년하반기업체별 3D NAND 진행방향을살펴보면삼성전자는작년 48단개발에이어 2016년하반기에 64단제품을선보일전망이며, 도시바및샌디스크의경우 48단을건너뛰고 64단진행을검토하고있다. 마이크론과인텔은 Floating Gate방식에 Cell on Peri 구조를활용하여집적도를높일것으로판단되며 SK하이닉스의경우 CTF(Charge Trap Flash) 방식으로 48단을추진할것으로분석된다. 3D NAND 경쟁업체증가 : 1 개업체 à 6 개업체 자료 : NH 투자증권리서치센터 2016 년하반기업체별 3D NAND 진행방향 자료 : NH 투자증권리서치센터 2

삼성전자 48단에서 64단으로전환전망삼성전자는 48단이후 64단전환을추진할것으로판단된다. 삼성전자는이미 2013년하반기부터 24단을시작으로 3D NAND를양산해왔다. 물론이를통해의미있는실적을내지는못했지만 2016년부터는 48단양산안정화를바탕으로 3D NAND가수익성있는제품군으로성장할것으로예상된다. 삼성전자 3D NAND는 CTF(Charge Trap Flash) 방식으로 TANOS 구조를사용하는형태이다. Control Gate로는텅스텐 (W) 을사용하고있으며 Gate Last 구조로 ONO 증착후 Nitride를선택적으로식각하는프로세스를거치게된다. Nitride 식각방식으로현재 Wet Etching 방식을사용하나 64단부터는깊이문제로인해 Dry Etching 방식이검토되고있다. 한편차기 3D NAND 생산라인은기존시안에서평택으로변경될가능성이매우높다. 삼성전자평택사업장은총 120만평으로세계최대규모의반도체생산라인이설립될전망이며언론에따르면 2017년상반기생산라인 1기가동을목표로하고있다. 가장먼저공사가이뤄지는곳은평택라인으로총 87.5만평수준인데, 이는삼성전자화성사업장 (48만평) 의두배규모이자기흥-화성을합친규모에해당된다. 평택의첫번째제품은 3D NAND 수요증가를감안시 64단 3D NAND일것으로판단된다. 삼성전자 3D NAND 프로세스 3

도시바 / 샌디스크 - 64 단 + Cell on Peri 도입검토 도시바 도시바는 3D NAND 기술을제일먼저개발했지만본격양산시점은 2016년하반기또는 2017년이될전망이다. 도시바는삼성전자와의경쟁을위해 48단을건너뛰고 64단의진행을검토하고있으며마이크론이개발하고있는 Cell on Peri 기술도같이적용할것으로보인다. 초기도시바의 3D NAND 는 Gate First 등삼성전자와기술적으로차이를갖고있 었지만최근삼성전자와유사해지면서기술적으로도삼성전자다음으로 3D NAND 제품구현이가능해질것으로판단된다. 도시바 3D NAND 도시바 3D NAND: P-BiCS 도시바 3D NAND 프로세스 4

샌디스크 샌디스크는도시바와공동으로 3D NAND 개발을진행하고있으며더나아가 3D ReRAM 도개발중에있다. 하지만시장양산성확보가능시점을감안시샌디스 크도 3D NAND 를먼저양산할것으로판단된다. 웨스턴디지털, 샌디스크인수 샌디스크는미국웨스턴디지털에합병되면서양사간시너지를극대화할전망이다. 웨스턴디지털은 HDD업체로어찌보면 SSD를공급하는샌디스크와경쟁관계에있다. 웨스턴디지털은샌디스크합병을통해 SSD를서버및스토리지서버에적극공급할전망이어서 SSD의 HDD 대체에기여할것으로분석된다. 샌디스크로드맵 샌디스크제품 Mix 변화 자료 : SanDisk, NH 투자증권리서치센터 5

마이크론 / 인텔 à Floating Gate + Cell On Peri 방식적용마이크론과인텔은 Floating Gate 방식에추가로 Cell on Peri 방식을도입하여 3D NAND를구현할전망이다. 마이크론과인텔은삼성전자 / 도시바 / 샌디스크 /SK하이닉스와달리 Floating Gate 방식을사용함으로써 2D NAND 장비활용도를높이고있다. 또한마이크론과인텔은 Cell on Peri 기술을도입하여주상복합아파트구조 (Peri 를형성하고 Cell을쌓는방식 ) 의 3D NAND를개발하고있다. 마이크론은당초 48 단을진행할계획이었으나 64단으로단수를올리는방안을검토중에있는데이는삼성전자와의기술격차를좁히기위한것으로보인다. 마이크론 3D NAND 구조 - Floating Gate 마이크론 / 인텔로드맵 6

마이크론 / 인텔 3D NAND 프로세스 마이크론과인텔의 3D NAND 제조프로세스를살펴보면 Oxide와 Poly 물질을 CVD(Chemical Vapor Deposition) 로순차적으로증착한후 Control Gate에해당되는 Poly 물질을에칭을통해일부식각한다. 이후 Floating Gate를증착하고필요없는부분은에칭한후터널 Oxide를증착하는방식으로 3D NAND를형성한다. 마이크론과인텔의 3D NAND는콘셉트상 Floating Gate와 Control Gate를수평으로형성하기때문에삼성전자및도시바방식보다이를 Gate들이더많은면적을차지하게된다. 마이크론과인텔은이런문제를극복하기위해 Cell on Peri 방식을도입하고있다. 통상반도체칩은 Cell과 Peri로구성되어있는데, 두업체는나란히있는기존구조대신 Peri를먼저형성하고 Cell을그위에형성함으로써집적도를높이는방식을추구하고있다. 마이크론 / 인텔 3D NAND 마이크론 / 인텔 3D NAND 구조 : Cell on Peri 마이크론 3D NAND 프로세스 7

SK하이닉스 - 48단추진 SK하이닉스도하반기부터 3D NAND를본격화할것으로판단된다. SK하이닉스는 36단공정개발은완료한상황이며 48단으로양산화를진행할것으로판단된다. 통상 48단이나 64단으로진행할경우중력때문에층간하중이심해지는문제가발생하는데업계전체가극복해야하는문제를겪을것으로판단된다. SK하이닉스의 3D NAND도 CTF(Charge Trap Flash) 기반의제품구조를가지고있으며 SMArT(Stacked Memory Array Transistor) 라는제품명으로불리고있다. SK하이닉스는 3D NAND를우선기존라인에서구현하고이후 M14라인에서추가양산진행할것으로예상된다. SK 하이닉스 3D NAND 구조 SK 하이닉스 3D NAND 방식 Category Node 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16 1Q17 2Q17 cmlc 32Gb 1Y nm 64Gb 1Y nm 128Gb 1Y nm 3D V2 emlc 128Gb 1Y nm 1Y nm etlc 128Gb 1Y nm 1Y nm 3D V3 256Gb - 3D V3 etlc 256Gb - 3D V3 주 : cmlc : Client MLC, emlc: Enterprise MLC 자료 : SK 하이닉스, NH 투자증권리서치센터전망 8

종목투자등급 (Stock Ratings) 및투자등급분포고지 1. 투자등급 (Ratings): 목표주가제시일현재가기준으로향후 12 개월간종목의목표수익률에따라 Buy : 15% 초과 Hold : -15% ~ 15% Sell : -15% 미만 2. 당사의한국내상장기업에대한투자의견분포는다음과같습니다. (2016 년 5 월 13 일기준 ) 투자의견분포 Buy Hold Sell 76.2% 23.3% 0.5% - 당사의개별기업에대한투자의견은변경되는주기가정해져있지않습니다. 당사는투자의견비율을주간단위로집계하여기재하고있으니참조하시기바랍니다. Compliance Notice 당사는자료작성일현재동자료상에언급된기업들의발행주식등을 1% 이상보유하고있지않습니다. 당사는동자료를기관투자가또는제 3 자에게사전제공한사실이없습니다. 동자료의금융투자분석사와배우자는자료작성일현재동자료상에언급된기업들의금융투자상품및권리를보유하고있지않습니다. 동자료에게시된내용들은본인의의견을정확하게반영하고있으며, 외부의부당한압력이나간섭없이작성되었음을확인합니다 고지사항 본조사분석자료에수록된내용은당사리서치센터의금융투자분석사가신뢰할만한자료및정보를바탕으로최선을다해분석한결과이나그정확성이나완전성을보장할수없습니다. 따라서투자자의투자판단을위해작성된것이며어떠한경우에도주식등금융투자상품투자의결과에대한법적책임소재를판단하기위한증빙자료로사용될수없습니다. 본조사분석자료는당사의저작물로서모든지적재산권은당사에귀속되며당사의동의없이복제, 배포, 전송, 변형, 대여할수없습니다. 9