CONTENTS I. Prologue: 무어의법칙재구성 무어의법칙 2. 포토공정현황 : ASML 3. 3D NAND 본격화 : 엔터프라이즈향 SSD 수요증가 10 II. 3D NAND 방향 : Stack 증가 + Cell on Peri + 업체별경쟁확대...

Size: px
Start display at page:

Download "CONTENTS I. Prologue: 무어의법칙재구성 무어의법칙 2. 포토공정현황 : ASML 3. 3D NAND 본격화 : 엔터프라이즈향 SSD 수요증가 10 II. 3D NAND 방향 : Stack 증가 + Cell on Peri + 업체별경쟁확대..."

Transcription

1 반도체산업 무어의법칙재구성 4: 3D NAND 구조변화 Industry Report 무어의법칙주 ) 은 3 차원구조로재구성되고있으며 3D NAND 가그중심 에있을전망. 3D NAND 는 48 단에서 64 단으로전환되고 Cell on Peri 등 신기술도입전망. 경쟁구도도기존 1 개업체에서 6 개업체로확대예상 3D NAND 는 48 단에서 64 단으로확대. Cell on Peri 기술도입전망 3D NAND 는 48 단에서 64 단으로업그레이드되며주상복합구조형태의 COP(Cell on Peri) 기술이검토되면서 3D NAND 원가경쟁력강화전망 방향 1: 48 단 à 64 단도입으로 PE CVD/ALD( 플라즈마화학증착장비 / 원자층증착장비 ) 및 Cleaning 공정확대전망. 또한 Nitride( 질화막 ) 식각방식은 Wet Etch 에서 Dry Etch 로변화예상 방향 2: COP(Cell on Peri) 또는 PUC(Peri under Cell) 기술도입으로 3D NAND 는주상복합아파트구조로변화예상. 이로인해 CMP( 화학적ㆍ기계적연마 ) 기술확대전망 방향 3: 3D NAND 경쟁구도확대예상. 기존삼성전자 1 개회사에서도시바 / 샌디스크, 마이크론 / 인텔, SK 하이닉스등 6 개업체간경쟁확대예상 년하반기말또는 2017 년초신규 CAPA( 생산능력 ) 추가가예상됨 Top picks: 삼성전자, 원익 IPS, 피에스케이, 한양이엔지 삼성전자 : 세계최초로 48 단 3D NAND 상용화하여 3 차원구조를주도중 년하반기에는 64 단으로경쟁력확대전망 원익 IPS: 3D NAND 48 단에서 64 단으로의전환가속화수혜전망. 인적분할이후장비사업을영위하는신설법인원익 IPS 에대해매수권고 관련주 : 피에스케이 (Asher/Dry Etch), 테스 (CVD), 케이씨텍 (CMP), 한양이엔지 ( 인프라 ), 신성이엔지 ( 인프라 ), 원익머트리얼즈 (CVD Gas) Positive ( 유지 ) Top Picks 삼성전자 Buy ( 유지 ) 1,650,000 원 ( 유지 ) SK 하이닉스 Buy ( 유지 ) 41,000 원 ( 유지 ) 원익 IPS Buy ( 유지 ) 15,000 원 ( 유지 ) PER( 배 ) PBR( 배 ) KOSPI Sector Sector Index 반도체 KOSPI 70 '15.4 '15.6 '15.8 '15.10 '15.12 '16.2 '16.4 업종시가총액 219,449 십억원 (Market 비중 17.2%) 주 : KRX 업종분류기준 Analyst 이세철 02) , peter.lee@nhwm.com Analyst 김대근 02) , d.kim@nhwm.com 주 ) 무어의법칙 : 반도체집적회로의성능이 18 개월마다 2 배로증가한다는법칙 반도체산업투자의견 / 투자지표 ( 단위 : 원, 배, %, 십억원 ) 코드 투자의견 목표주가 (12M) 현재가 PER PBR ROE 순차입금 E E E E 삼성전자 ( KS) Buy( 유지 ) 1,650,000( 유지 ) 1,265, ,692-75,931 SK하이닉스 ( KS) Buy( 유지 ) 41,000( 유지 ) 27, ,547 원익IPS ( KQ) Buy( 유지 ) 15,000( 유지 ) 11, 테스 ( KQ) Not Rated - 13, 피에스케이 ( KQ) Not Rated - 10, 케이씨텍 ( KS) Not Rated - 16, 원익머트리얼즈 ( KQ) Buy( 유지 ) 90,000( 하향 ) 59, 한양이엔지 ( KQ) Not Rated - 12, 신성이엔지 ( KQ) Not Rated - 3, 주 : 4 월 28 일종가기준 ; 자료 : NH 투자증권리서치센터전망

2 CONTENTS I. Prologue: 무어의법칙재구성 무어의법칙 2. 포토공정현황 : ASML 3. 3D NAND 본격화 : 엔터프라이즈향 SSD 수요증가 10 II. 3D NAND 방향 : Stack 증가 + Cell on Peri + 업체별경쟁확대 Stack수변화 : 48단 à 64단 1.1 3D NAND Stack수방향 1.2 3D NAND 프로세스 2.Cell on Peri 구조 2.1 아파트구조에서주상복합구조로변화 2.2 3D NAND 원가방향 3. 3D NAND업체간경쟁확대 : 1개업체 à 6개업체 23 III. 시사점 : CVD(PECVD/ALD) + CMP/Cleaning + Dry Etch 공정확대 시사점 1: Sacks 수증가로 CVD(PECVD/ALD) 및 H2O2 클리닝공정확대 1.1 ONO 증착및 ACL(Amorphous Carbon Layer) 증착공정증가 1.2 공정스텝증가로 H2O2 클리닝공정스텝증가 2. Nitride( 질화막 ) 의선택적에칭방식변화 3. Cell on Peri 방식으로 CMP 공정확대전망 4. 업체간투자확대 38 IV. Epilogue: 무어의법칙재구성 à 3D NAND 의진화 [ 기업분석 ] 삼성전자 [Buy, 1,650,000 원 ]... SK하이닉스 [Buy, 41,000원 ]... 원익IPS [Buy, 15,000원 ]... 테스 [N/R]... 피에스케이 N/R]... 케이씨텍 [N/R]... 원익머트리얼즈 [Buy, 90,000원 ]... 한양이엔지 [N/R]... 신성이엔지 [N/R]

3 반도체산업 [ 표 1] Key assumption 1. 주요응용처별 Set 전망 (M set) CAGR Server % PCs % Desktop PCs % Mobile PCs % Mini-Notebook PCs % Media Tablets % SSD % Graphics Cards % HDD % ODD % Handsets 1,828 1,722 1,770 1,772 1,812 1,857 0% Basic % Enhanced % Smartphone ,226 1,401 1,532 26% DVD % TV, LCD % Digital Set-Top Boxes % Video Games Machines % Digital Still Camera % PND % FNS % 2. 반도체주요제품시장전망 ( 금액 ) (M.USD) CAGR Memory 62,042 52,684 65,197 80,938 78,512 76,521 4% DRAM 30,345 23,877 34,625 49,646 47,081 43,967 8% PC DRAM 6,664 6,268 9,415 11,828 8,695 6,568 0% Mobile DRAM 8,339 9,471 11,736 20,528 21,599 21,716 21% SRAM ,002 1,032 1,032 1% Flash Memory 29,380 26,595 28,336 29,090 29,238 30,361 1% SSD 4,584 6,585 7,871 10,130 10,786 13,573 24% Microcomponent 61,906 61,562 66,836 69,516 73,319 73,319 3% Logic IC 11,905 11,915 12,472 13,092 13,754 13,754 3% Analog IC 20,770 20,532 22,685 23,874 25,713 25,713 4% Discrete 19,620 19,323 21,178 22,258 23,901 23,901 4% Optical 22,001 23,081 25,406 28,260 32,385 32,385 8% ASIC 21,779 22,096 24,818 27,076 29,531 29,531 6% ASSP 77,671 78,452 83,848 88,091 92,143 92,143 3% Application processor 8,525 13,581 21,221 28,581 35,514 39,302 36% Baseband Processor 15,125 17,441 20,441 22,725 24,664 26,211 12% Connectivity(WiFi+BT+GPS) 6,846 6,916 7,490 7,844 8,442 9,012 6% Foundry (Pure Foundry) 29,754 32,709 35,644 38,927 42,104 42,104 7% Total 302, , , , , ,223 4% 3. 반도체주요제품시장전망 ( 수량 ) (M.pcs) CAGR Memory DRAM(1Gb eq.) 22,645 27,910 37,228 53,041 59,626 73,266 26% PC DRAM 9,983 11,331 11,185 12,108 10,604 10,539 1% Mobile DRAM 2,909 5,697 10,461 19,435 23,670 31,314 61% Flash(8Gb eq.) 18,049 28,752 40,919 57,628 79, ,581 44% SSD(8Gb eq.) 2,412 5,640 9,004 15,007 23,591 40,213 76% Application Processor ,223 1,502 1,778 1,916 28% Baseband Processor 1,828 1,722 1,770 1,772 1,812 1,857 0% Connectivity(WiFi+BT+GPS) 1,141 1,153 1,270 1,352 1,455 1,554 6% 4. 반도체주요제품 ASP ($) CAGR Memory DRAM(1Gb eq.) % PC DRAM % Mobile DRAM % Flash(8Gb eq.) % SSD(8Gb eq.) % Applicatioln Processor % Baseband Processor % Connectivity(WiFi+BT+GPS) % 자료 : NH 투자증권리서치센터 3

4 반도체산업 [ 표 2] Key assumption 1. 주요응용처별 Set 성장률 CAGR Server 9% 8% 2% 2% 5% 6% 5% PCs 0% -1.4% -9.5% 1% -18% -8% -7% Desktop PCs -4% -7% 4% -6% -24% -16% -10% Mobile PCs 9% 7% -14% 11% -13% -3% -3% Mini-Notebook PCs -25% -31% -55% -100% -100% Media Tablets 267% 122% 42% 3% 7% 3% 29% SSD 115% 90% 34% 44% 16% 30% 41% Graphics Cards -10% -4% 3% -7% -5% -5% -4% HDD -4% 8% 6% -9% 1% 1% 1% ODD 0% 6% -11% -14% -8% -8% -7% Handsets 12% -6% 3% 0% 2% 2% 0% Basic 18% -17% -12% -16% -15% -15% -15% Enhanced -11% -31% -38% -55% -57% -59% -49% Smartphone 57% 45% 41% 24% 14% 9% 26% DVD -1% -1% -5% -9% -12% -19% -9% TV, LCD 5% 3% 3% 1% 4% 3% 3% Digital Set-Top Boxes 10% 18% 23% 6% 6% 6% 11% Video Games Machines -8% -9% -20% 6% 8% 1% -3% Digital Still Camera -10% -12% -13% -13% -13% -5% -11% PND -9% -16% -12% -4% -4% -4% -8% FNS -12% 36% 15% 2% 2% 2% 11% 2. 반도체주요제품금액성장률 CAGR Memory -6% -15% 24% 24% -3% -3% 4% DRAM -21% -21% 45% 43% -5% -7% 8% PC DRAM -55% -6% 50% 26% -26% -24% 0% Mobile DRAM 61% 14% 24% 75% 5% 1% 21% SRAM -7% -4% 4% 2% 3% 0% 1% Flash Memory 18% -9% 7% 3% 1% 4% 1% SSD 109% 44% 20% 29% 6% 26% 24% Microcomponent 10% -1% 9% 4% 5% 0% 3% Logic IC 2% 0% 5% 5% 5% 0% 3% Analog IC -1% -1% 10% 5% 8% 0% 4% Discrete 1% -2% 10% 5% 7% 0% 4% Optical 6% 5% 10% 11% 15% 0% 8% ASIC -2% 1% 12% 9% 9% 0% 6% ASSP 2% 1% 7% 5% 5% 0% 3% Application processor 75% 59% 56% 35% 24% 11% 36% Baseband Processor 18% 15% 17% 11% 9% 6% 12% Connectivity(WiFi+BT+GPS) 7% 1% 8% 5% 8% 7% 6% Foundry (Pure Foundry) 5% 10% 9% 9% 8% 0% 7% Total 1% -3% 14% 6% 3% 0% 4% 3. 반도체주요제품수량성장률 CAGR Memory DRAM(1Gb eq.) 46% 23% 33% 42% 12% 23% 26% PC DRAM 26% 14% -1% 8% -12% -1% 1% Mobile DRAM 117% 96% 84% 86% 22% 32% 61% Flash(8Gb eq.) 81% 59% 42% 41% 39% 38% 44% SSD(8Gb eq.) 223% 134% 60% 67% 57% 70% 76% Application Processor 69% 55% 44% 23% 18% 8% 28% Baseband Processor 12% -6% 3% 0% 2% 2% 0% Connectivity(WiFi+BT+GPS) 9% 1% 10% 7% 8% 7% 6% 4. 반도체주요제품 ASP 변동률 CAGR Memory DRAM(1Gb eq.) -46% -36% 9% 1% -16% -24% -15% PC DRAM -65% -17% 52% 16% -16% -24% -1% Mobile DRAM -26% -42% -33% -6% -14% -24% -25% Flash(8Gb eq.) -35% -43% -25% -27% -27% -25% -30% SSD(8Gb eq.) -35% -39% -25% -23% -32% -26% -29% Applicatioln Processor 3% 3% 9% 10% 5% 3% 6% Baseband Processor 6% 22% 14% 11% 6% 4% 11% Connectivity(WiFi+BT+GPS) -2% 0% -2% -2% 0% 0% -1% 자료 : NH 투자증권리서치센터 4

5 반도체산업 Key Charts 무어의법칙 3D NAND 기술방향 : 48 단 à 64 단 140 # of 3D NAND Stacks '13 '14 '15 '16 '17 '18 '19 '20 자료 : 언론, NH 투자증권리서치센터 자료 : 언론, NH 투자증권리서치센터 3D NAND 기술방향 : Cell on Peri(COP) 구조 ( 그림교체 ) 3D NAND 경쟁구도 : 1 개업체 à 6 개업체 자료 : 언론, NH 투자증권리서치센터 자료 : NH 투자증권리서치센터 시사점 : PECVD/ALD + CMP/Cleaning + Dry Etch 공정확대및업체간투자확대예상 기술방향 주요변화주요내용수혜업체 3D NAND: 48 단 à 64 단 Stack 증가 PECVD/ALD 공정중요스텝확대 à H2O2 증가 원익 IPS(ONO), 테스 (ACL) 원익머트리얼즈 (CVD) Nitride 식각방식변화 WET à Dry Etch 도입 H2O2 클리닝확대사용증가 à H2O2 증가 피에스케이 ( 에치백 ) 테스 ( 가스에칭 ) Cell on Peri 주상복합구조로 CMP 공정확대 케이씨텍 (CMP 슬러리 ) 경쟁구도 업체간투자확대 1 개 à 6 개업체투자확대로 Asher 수주증가 한양이엔지 ( 인프라 ) 신성이엔지 ( 인프라 ) 피에스케이 (Asher) 자료 : NH 투자증권리서치센터 5

6 반도체산업 Executive Summary Prologue: 무어의법칙재구성 무어의법칙 올해는 무어의법칙 이탄생한지 51주년을맞는해이다. 무어의법칙은반도체산업의근간이되는법칙으로 18개월마다반도체집적도가두배씩증가한다는법칙이다. 하지만최근기술난이도상승으로무어의법칙이한계에봉착했다는평가가나오는등구조변화가진행되고있는상황이다. 이에따라 3차원구조확대에따른 3D NAND 본격화가진행될것으로전망된다. 3D NAND는삼성전자가 2013년에도입하였지만그동안공정수율문제로의미있는수익성을이뤄내지못했다. 하지만 32단뿐아니라 48단의수율도안정화되면서기술안정성도확보되고있어 3D NAND 본격화가예상된다. 특히 2017년부터는 2D NAND로원가를낮추기가쉽지않기때문에 3D NAND 확대가전망된다. 3D NAND 방향 : Stack 증가 + Cell on Peri + 업체별경쟁확대 방향 1: 48à 64 단 방향 2: Cell on Peri 3D NAND는지속적인 Stack수증가와 COP(Cell on Peri) 기술출현으로집적도를더욱높일것으로판단된다. 이런기술흐름은향후 3D NAND 기반의 SSD가 HDD 대비원가경쟁력을갖추는계기가될전망이다. 2016년하반기말부터 64단 3D NAND 시대가도래할것이며 Cell on Peri 기술은 3D NAND의원가를더욱낮출수있을것으로판단된다. 방향 3: 경쟁확대 업체간경쟁도확대될것으로전망되는데기존에는삼성전자만이 3D NAND를진행하였지만 2016년하반기말부터는 NAND 업체외에인텔및중국 XMC도 3D NAND를진행할전망이어서선두주자와후발주자간의기술및 CAPA 경쟁이본격화될것으로판단된다. 무어의법칙 EUV 로드맵 자료 : Intel, NH 투자증권리서치센터 자료 : ASML, NH 투자증권리서치센터 6

7 반도체산업 시사점 : CVD(PECVD/ALD) + CMP/Cleaning + Dry Etch 공정확대 CVD 수요증가첫번째시사점으로는 3D NAND가 48단에서 64단으로고단화되면서 CVD(Chemical Vapor Deposition) 수요가증가할것으로예상된다. 또한깊은 Hole 구현이후 Control Gate 구현을위한 ALD(Atomic Layer Deposition) 공정도증가할것으로예상되고있다. 관련주로는원익IPS, 테스가해당된다. Nitrideà Dry Etching Cell on Peri 기술로 CMP 기술중요 두번째시사점은 3D NAND가 48단에서 64단으로상향되면서 Nitride 식각방식이기존 Wet Etching 방식에서 Dry Etching 방식으로전환될것이란점이다. Wet Etching 방식은고선택비인산액으로선택적에칭하는방식인데시간이많이걸리고정확도가떨어져서 Dry Etching 기술이도입될것으로판단된다. 세번째시사점으로는향후 Cell on Peri(COP) 또는 Peri under Cell(PUC) 방식도입으로 CMP(Chemical Mechanical Polishing) 공정기술확대될것으로전망된다. COP나 PUC의경우 Peri를먼저형성한후 CMP로단차를평탄화하고 Cell 구조를그위에형성하기때문이다. 관련주로는케이씨텍 (CMP/ 슬러리 ) 이해당된다. 또한 3D NAND 의 64 단화로 3D NAND 스텝증가함에따라과산화수소수 (H2O2) Cleaning 공정이확대될전망이다. NAND 업계투자확대, 네번째시사점으로삼성전자외에도시바 / 샌디스크 / 마이크론 / 인텔 /SK하이닉스 5 개업체가 3D NAND 경쟁에가세하면서업체별투자가확대될것으로예상된다. 관련주로는한양이엔지 ( 공급장치 ), 신성이엔지 ( 클린룸 ), 피에스케이 (Asher( 박리장비 )) 가해당된다. Epilogue: 무어의법칙재구성 à 3D NAND 의진화 무어의법칙은재구성되고있다. 3차원구조의중심에는 3D NAND가있으며, 3D NAND는 48단에서 64단으로업그레이드되고, COP(Cell on Peri) 또는 PUC(Peri Under Cell) 기술을도입함으로써 3D NAND 제품경쟁력을더욱높일것으로판단된다. 시사점 : CVD + CMP/Cleaning + Dry Etch 증가 + 투자확대 환경변화및수혜업체 기술방향 주요변화 주요내용 반도체방향 주요변화 관련종목 3D NAND: 48 단 à 64 단 Stack 증가 Nitride 식각방식변화 PECVD/ALD 공정중요스텝확대 à H2O2 증가 WET à Dry Etch 도입클리닝확대 H2O2사용증가 à H2O2 증가 3D NAND 48 단 à 64 단 CVD(PECVD) ALD Dry Etch 공정 Cleaning 확대 CVD: 원익 IPS, 테스 ALD: 원익머트리얼즈 Etch: 피에스케이, 테스 Cleaning: H2O2 관련업체 Cell on Peri 주상복합구조로 CMP 공정확대 Cell On Peri 구조 CMP 및슬러리확대 CMP: 케이씨텍 경쟁구도 업체간투자확대 1 개 à 6 개업체투자확대로 Asher 수주증가 1 개 à 6 개업체로확대 인프라공사 + 장비투자 피에스케이, 한양이엔지, 신성이엔지, 유니셈, GST, 원익 IPS 자료 : NH 투자증권리서치센터 자료 : NH 투자증권리서치센터 7

8 반도체산업 Top Picks 삼성전자, SK하이닉스원익IPS 투자유망 삼성전자와 SK하이닉스는미세공정전환및 3차원구조기술변화에부합되는 IT 업체이다. 삼성전자는메모리뿐아니라 System LSI제품도보유하고있어향후인텔을넘어서는회사가될전망이다. SK하이닉스는 TLC(Triple Level Cell) 및 3D NAND를통해 NAND 경쟁력을강화할것으로판단된다. 삼성전자 : (Buy, TP: 1,650,000 원 ) 관련주 : 피에스케이, 테스, 케이씨텍, 한양이엔지, 신성이엔지원익머트리얼즈 삼성전자는세계최초로 48단 3D NAND 상용화하여 3차원구조를주도할전망이다. 또한 14nm(1nm = 10억분의 1m) 공정안정화및자체 Core설계로시스템반도체역량확대하여인텔을넘어서는회사로거듭날것으로판단된다. 삼성전자는 3D NAND 경쟁력강화를위해 32단 Stack에서 48단 Stack으로공정전환진행중이다. 삼성전자는 3D NAND 양산경험이있는유일한회사로 48단공정을통해기존 32단보다원가를 30% 이상낮추어생산중이다. 또한삼성전자는메모리미세공정노하우를활용하여 10nm공정개발도진행중이어서파운드리거래선이확대될것으로예상된다. SK하이닉스 : (Buy, TP: 41,000 원 ) SK하이닉스의경우 TLC 및 3D NAND 추진으로 NAND 부문실적이개선될전망이다. DRAM 부문은서버및모바일수요견조해하반기 DRAM 가격안정화수혜전망된다. 최근 PC 수요약세에따른 PC DRAM 가격하락우려있으나중기적관점 DRAM 시장의지속적인과점화로안정적가격흐름전개가전망된다. SK하이닉스는 2세대인 36단 3D NAND 개발을 3분기초에마무리하고양산추진할것으로전망된다. 또한 16년말에는 3세대 (48단) 3D NAND 사전검증을시작해 3세대 NAND 시대를열어나갈것으로예상된다. 한편하반기에는스마트폰탑재량확대로모바일 DRAM 수요가확대될전망이어서 PC DRAM 가격은 6월이후안정화전망됨에따라실적회복은 3분기부터두드러질것으로전망된다. 원익IPS: (Buy, TP: 15,000 원 ) 원익IPS는 48단 3D NAND 상용화에따라 PE CVD, ARC PE CVD 및 Metal CVD 장비수주확대예상된다. 3D NAND가 32단에서 48단으로전개될경우 PE CVD 공정은막질증가로기존 32단대비 30% 증가됨에따라장비수요가확대되기때문이다. 특히 2016년하반기에는삼성전자뿐아니라마이크론, 도시바, 샌디스크및 SK하이닉스도 3D NAND 48단및 64단진입으로관련수혜가예상된다. 한편, 삼성전자가반도체를성장동력으로삼고있음을감안시평택라인은시장 예상보다빨리진행될전망이어서추가적인장비수주가예상된다. 또한디스플레 이부문도 OLED 시장본격화에따른매출확대가전망된다. 8

9 반도체산업 Peer group Valuation Table 삼성전자 Peer group Valuation Table 시가총액 ( 백만 USD) EV/EBITDA PER PBR ROE(%) E E E E 삼성전자 164, SK하이닉스 18, 인텔 148, 마이크론 11, 도시바 9, N/A N/A N/A TSMC 125, LGD 7, 애플 578, LG전자 8, 주 : 4 월 28 일종가기준자료 : Bloomberg, NH 투자증권리서치센터 SK 하이닉스 Peer group Valuation Table 시가총액 ( 백만 USD) EV/EBITDA PER PBR ROE(%) E E E E SK 하이닉스 18, 도시바 164, 마이크론 9, N/A N/A N/A 난야 11, 이노테라 3, 주 : 4 월 28 일종가기준자료 : Bloomberg, NH 투자증권리서치센터 원익 IPS Peer group Valuation Table 시가총액 ( 백만 USD) EV/EBITDA PER PBR ROE(%) E E E E 원익 IPS AMAT 24, ASML 41, N/A 27.0 N/A TEL 11, Lam Research 12, KLA-TENCOR 11, 주 : 4 월 28 일종가기준자료 : Bloomberg, NH 투자증권리서치센터 9

10 반도체산업 I. Prologue : 무어의법칙재구성 3D NAND 본격화 3D NAND 본격화 반도체무어의법칙이재구성되고있다. 반도체미세공정의난이도가계속높아지고있어 3차원구조도입이가속화되고있다. 물론최근삼성전자가 DRAM 18nm 개발하는등공정미세화진행되고있지만반도체업계의미세공정전환속도는상당히둔화되고있는상황이다. 이번리포트는작년에발간했던무어의법칙재구성리포트의 4탄으로 3D NAND 의기술변화관련보고서이다. 3D NAND의경우그동안주로삼성전자를중심으로개발과양산이진행되어왔지만, 최근마이크론이 3D NAND 관련기술을발표하였고, 도시바역시투자계획을밝혀업체간상이한기술로경쟁이본격화될전망이다. 이에최근진행되고있는 3D NAND의기술변화에대해보다자세히알아보고업체별개발현황도분석하고자한다. 무어의법칙 자료 :Intel, NH 투자증권리서치센터 3D NAND 기술및멀티패터닝 자료 : LAM Research, NH 투자증권리서치센터 10

11 반도체산업 1. 무어의법칙 무어의법칙 올해는 무어의법칙 이탄생한지 51주년을맞는해이다. 무어의법칙은반도체산업의근간이되는법칙으로 18개월마다반도체집적도가두배씩증가한다는법칙이다. 하지만최근기술난이도상승으로무어의법칙이한계에봉착했다는평가가나오는등구조변화가진행되고있는상황이다. 우선포토공정기술의난이도가높아지고있어 EUV( 극자외선 ) 도입도당장진행되기는어려운상황에있다. 물론 EUV는궁극적으로는포토공정의일부로채택되겠지만 DPT(Double Patterning Technology) 및 QPT(Quadruple Patterning Technology) 기술과병행해서사용될것으로판단된다. 이는 EUV 역시이론적으로 10nm 이하에서는 DPT나 QPT의도움이필요하기때문이다. 당사는최근 3년간 무어의법칙재구성 이라는제목으로반도체리포트시리즈물을발간한바있으며미세공정의한계로인해반도체구조가 2차원에서 3차원으로변화한다고분석한바있다. 즉 Photo 공정이한계에다다르면서반도체미세공정패턴역시한계상황에진입하고있다. Moore 의법칙 자료 : 언론, NH 투자증권리서치센터 11

12 반도체산업 무어의법칙인포그래픽 : 기술발전방향 자료 : 언론, NH 투자증권리서치센터 무어의법칙인포그래픽 : 집적도를인구수와비교 자료 : Intel, NH 투자증권리서치센터 12

13 반도체산업 2. 포토공정현황 EUV 포토공정현황 포토공정중현재주력공정에는 ArF Immersion 기술과 DPT 혹은 QPT 기술이사용되고있다. EUV 기술의경우계속검토는되고있지만양산성에대해아직논란이있는상황이다. TSMC는 EUV 도입을적극검토하고있는반면삼성전자는 EUV 도입에미온적인상황이다. 즉지속된개발지연으로포토공정보다는 3차원구조확대를검토하고있다. EUV는당초 2010년 ADT 및 PPT Tool로주요업체에공급예정이었다. 하지만 DRAM 공정의 EUV 양산가능시점은당초 2012년에서 2018년으로늦춰질전망이며 NAND는 3D NAND 도입으로당분간 EUV을도입하지않을것으로판단된다. 어찌보면 EUV 도입은메모리보다는로직쪽에서더적극적인양상을띠고있다고볼수있겠다. ASML, EUV 현황 자료 : ASML, NH 투자증권리서치센터 ` EUV 장비 자료 : ASML, NH 투자증권리서치센터 13

14 반도체산업 ASML, EUV 장비판매현황 자료 : ASML, NH 투자증권리서치센터 EUV 장비 자료 : ASML, NH 투자증권리서치센터 14

15 반도체산업 EUV 현황 ASML의 EUV 장비성능을보면, 올해중하루 1,200매의웨이퍼처리가가능한수준에도달할전망이다. EUV 장비의경우빛파장이 13.5nm로짧으나양산성이떨어지는문제가있는상황이다. ASML은 EUV 광원에너지부족으로웨이퍼처리량이떨어지는문제를개선하여 44와트 (W) 광원으로시간당 34장, 하루 637장의웨이퍼처리가가능한 EUV 노광장비 NXE3300B를지난 14년내놓은바있다. 2016년최신제품인 NXE 3350B의경우시간당약 60매, 하루 1,200매의웨이퍼처리가가능해질것으로판단된다. EUV는액체금속인주석을노즐로떨어뜨리면서플라즈마를발생시키는방식으로광원을형성한다. 기존포토방식은광원으로엑시머레이저를사용하였으나 EUV 에서는플라즈마를사용한다. 광학방식역시기존방식은렌즈를사용하나 EUV는주로거울을사용하는것이차이점이다. EUV는액체금속인주석을떨어뜨리고, 이를플라즈마로맞춰서광원을만드는구조이다. EUV 기술원리 자료 : ASML, NH 투자증권리서치센터 EUV 액체금속로딩트렌드 자료 : ASML, NH 투자증권리서치센터 15

16 반도체산업 EUV 성능현황 자료 : ASML, NH 투자증권리서치센터 EUV 패터닝 자료 : ASML, NH 투자증권리서치센터 16

17 반도체산업 2014 년하반기 ASML 포토장비매출현황 자료 : ASML, NH 투자증권리서치센터 2015 년하반기 ASML 포토장비매출현황 자료 : ASML, NH 투자증권리서치센터 17

18 반도체산업 3. 3 차원구조확대 à 3D NAND 본격화 삼성전자 3D NAND 기술은안정화 단계돌입 3차원구조확대로 3D NAND가본격화될전망이다. 3D NAND는삼성전자가 2013년에도입하였지만그동안공정수율문제로의미있는수익성을이뤄내지못했다. 하지만 32단뿐아니라 48단의수율도안정화되면서기술안정성도확보되고있어 3D NAND 본격화가예상된다. 특히 2017년부터는 2D NAND로원가를낮추기가쉽지않기때문에 3D NAND 확대가전망된다. Planar NAND vs 3D NAND 자료 : ASML, NH 투자증권리서치센터 Planar NAND vs 3D NAND ` 자료 : Flash Memory Summit 2015, NH 투자증권리서치센터 18

19 반도체산업 3D NAND 의응용처 확대 3D NAND의응용처는향후 PC에서엔터프라이즈향 SSD로확대될것으로판단된다. 그동안안정성문제로 3D NAND가엔터프라이즈시장에본격진입하기어려웠지만, 최근 HDD에서 SSD로의수요변화가진행되고있어수요확대가전망된다. 또한현재모바일용으로는 2D NAND가탑재되고있지만빠르면 2016년말, 늦어도 2017년에는스마트폰에도 3D NAND가탑재될것으로예상된다. 데이터사용량증가로 SSD 사용량증대 à 3D NAND 로 4 년내 HDD 원가수준접근전망 자료 : ASML, NH 투자증권리서치센터 3D NAND 는모바일에도탑재될전망 자료 : ASML, NH 투자증권리서치센터 19

20 반도체산업 EMC등주요스토리지서버업체들의 3D NAND가탑재된 SSD채용증가 특히엔터프라이즈향 SSD 수요본격화로 3D NAND 수요확대가전망되고있다. 2016년에는 PC 수요약세에도불구, SSD 수요는지속증가하고있다. TCO 관점에서접근가능할만큼 SSD 가격이하락함에따라그동안미미했던엔터프라이즈향 SSD 수요가확대되고있기때문이다. 특히최근스토리지서버업체인 EMC가 SSD를전면채용하기시작하는등엔터프라이즈향 SSD 수요는확대될전망이다. 참고로 2016년전세계 SSD 수요는 1GB 기준으로 402억개전망되고있으며그중엔터프라이즈향수요는 2015년 22% 대에서 2016년 31% 로확대되면서 1GB 기준 123억개에달할전망이다. 성장률도 SSD내응용처중가장높은 138% 로예상된다. 응용처별 SSD 수요전망 (Mpcs, 8Gb eq.) 45,000 40,000 35,000 30,000 25,000 20,000 15,000 10,000 5,000 0 Etc 40,213 Consumer(PC) +64% 3,902 Enterprise(Server/Storagge) 23,591 3,516 23,981 15,007 2,855 14, % 9,537 12,330 2,616 5,191 '14 '15 '16 자료 : Gartner, NH 투자증권리서치센터전망 2014 년 SSD 내응용처별비중 엔터프라이즈향 17% 2016 년 SSD 내응용처별비중 엔터프라이즈향 31% (Mpcs, 8Gb eq.) 2014 (Mpcs, 8Gb eq.) 2016 Etc 19% Etc 10% Enterprise (Server/ Storagge) 17% Consumer (PC) 64% Enterprise (Server/ Storagge) 31% Consumer (PC) 59% 자료 : Gartner, NH 투자증권리서치센터 자료 : Gartner, NH 투자증권리서치센터전망 20

21 반도체산업 SSD 수요증가로전체 NAND 수요는 1GB(8Gb) 기준으로 1,111억개가전망되며 2016년 NAND 연간성장율은 40.3% 이예상된다. 2016년 3D NAND 물량증가로전체 NAND에서 SSD가차지하는비중은 2015년 30% 에서 2016년 36% 로증가할전망이다. NAND 수요전망 데이터트래픽및데이터사용량증가 (Mpcs, 8Gb eq.) 120,000 ETC Smartphone Media Tablet Flash Card SSD 111, ,000 80,000 60,000 40,000 20, % 25,781 79,218 17,377 30,832 3,878 25,651 12,037 3,462 9,137 40,213 23, 자료 : Gartner, NH 투자증권리서치센터전망 NAND 수요비중변화 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% ETC Smartphone Media Tablet Flash Card SSD 22% 23% 32% 27% 3% 4% 11% 12% 30% 36% 자료 : Gartner, NH 투자증권리서치센터전망 21

22 반도체산업 TCO(Total Cost of Ownership) 관점수요 증가동인확대 3D NAND가탑재된 SSD는 TCO(Total Cost of Ownership) 관점으로도수요증가동인이확대되고있다. 엔터프라이즈향 SSD는그동안안정성검증문제로본격진입이어려웠지만최근 HDD에서 SSD로의수요변화가진행되고있어수요확대가전망된다. SSD 는 HDD 대비성능은 10 배, 전기소모는 1/10 수준으로 HDD 를대체할수있는 경쟁력을갖고있다. 하지만가격측면에서불과 4 년전만에해도 6 배이상비싸서 버업체나스토리지업체들이채택하기어려웠다. SSD 가격은 HDD 대비 2.8 배수준으로접근 하지만최근 SSD 가격이 128GB 기준 40달러에서 35달러로떨어지고있고일부채널에서는킹스톤등채널업체들간경쟁으로 25달러까지하락하고있다. 이제는 SSD 가격이 HDD 대비 2.8배수준으로 TCO관점에서도접근가능한수준에있다. 이렇게 SSD 가격이하락하고있는것은 3D NAND로원가를절감할수있고컨트롤러를활용하여 Density를높일수있기때문이다. SSD vs. HDD 가격트렌드 : HDD 대비 SSD 가격은 2006 년 130 배에서 2016 년 2.8 배로축소 프리미엄배수 (SSD/HDD) SSD $/GB HDD $/GB '06 '07 '08 '09 '10 '11 '12 '13 '14 '15 ' 자료 : Gartner, NH 투자증권리서치센터전망 SSD 가격경쟁력확대 (USD) SSD (128GB) HDD(500GB) Price Difference (SSD-HDD) '14 '15 ' 자료 : Gartner, NH 투자증권리서치센터전망 22

23 반도체산업 II. 3D NAND 방향 : Stack 증가 + Cell on Peri + 업체별경쟁확대 3D NAND는지속적인 Stack수증가와 COP(Cell on Peri) 기술출현으로집적도를더욱높일것으로판단된다. 이런기술흐름은향후 3D NAND 기반의 SSD가 HDD 대비원가경쟁력을갖추는계기가될전망이다. 2016년하반기말부터 64단 3D NAND 시대가도래할것이며 Cell on Peri 기술은 3D NAND의원가를더욱낮출수있을것으로판단된다. 업체간경쟁도확대될것으로전망되는데기존에는삼성전자만이 3D NAND를진행하였지만 2016년하반기말부터는 NAND 업체외에인텔및중국 XMC도 3D NAND를진행할전망이어서선두주자와후발주자간의기술및 CAPA 경쟁이본격화될것으로판단된다. 이번장에서는 3D NAND 64단에따른기술변화와 Cell on Peri 구조에대해분석해보고 3D NAND 업체간기술개발현황에대해알아보고자한다. 3D NAND 구조변화및경쟁구도방향 현재 à 향후방향 Stack 48 단 Stack 64 단 3D NAND 아파트구조 주상복합구조 (Cell on Peri) 1 개업체 6 개업체 자료 : NH 투자증권리서치센터 방향 1: 48 단 à 64 단 방향 2: Cell 구조방식변화 à Cell on Peri Stack 증가 48 단에서 64 단으로확대 Nitride 식각방식변화 단수증가로 Nitride 식각난이도증가 Staircase Stack 증가로계단패터닝증가 자료 : NH 투자증권리서치센터 자료 : 언론, NH 투자증권리서치센터 23

24 반도체산업 방향 1: 3D NAND Stack 수증가 (48 단 à 64 단 ) 3D NAND 64 단본격화 3D NAND의첫번째방향성은단수증가이다. 즉 2016년하반기부터는주요업체들이 48단뿐아니라 64단을진행할것으로전망되고있다. 2015년삼성전자가 48 단양산을시작한데이어 2016년에는단수가 64단으로증가할것으로전망되며궁극적으로는향후 100단이상의 3D NAND가도입될것으로판단된다. 단수가늘어나면서기술난이도도계속높아질것으로예상된다. 또한 2017년에는 80단에서 96단으로단수가확대되거나 Cell on Peri 구조를병행사용할것으로전망된다. 3D NAND의단수를올리는데있어난이도가높아지긴하지만공정전환한계에돌입한 2D NAND와달리지속적으로단수를올릴수있어매년공정전환효과가발생할것으로전망된다 년하반기 48 단에서 64 단으로구조변화 140 # of 3D NAND Stacks '13 '14 '15 '16 '17 '18 '19 '20 자료 : NH 투자증권리서치센터 Density 증가방향 자료 : 언론, NH 투자증권리서치센터 24

25 반도체산업 3D NAND 집적도및원가 3D NAND 는집적도 상향에유리 3D NAND가 48단에서 64단으로전환했을때 30% 이상집적도가상향된다. 따라서 3D NAND는 SSD 용량증대에도기여할것으로판단된다. 3D NAND는용량증대에여러모로유리한데, 단순히단수를높이는방법외에 MLC에서 TLC로전환해도집적도를 30% 높일수있기때문이다. 또한 Cell On Peri 방식을적용하면추가 30% 집적도를올릴수있다. 3D NAND 48 단부터 2D NAND 대비원가 경쟁력보유전망 3D NAND는기술상 48단이후부터 2D NAND 16나노보다원가우위를나타낼것으로보고있다. 이론상으로는 32단 3D NAND와 2D NAND 16나노의원가가유사하나 3D NAND의경우신규라인으로진행되어초기원가부담이있기때문이다. 궁극적으로 2D NAND 16나노이하부터는 3D NAND로의전환이불가피하다. 3D NAND vs. 2D NAND 집적도방향 자료 : 언론, NH 투자증권리서치센터 3D NAND vs. 2D NAND 원가비교 자료 : 언론, NH 투자증권리서치센터 25

26 반도체산업 공정측면 3D NAND 48 단에서 64 단으로전환되면서하중부담이더커질전망 Stack 수증가 + Nitride 식각방식 변화 + Staircase 3D NAND 가 48 단에서 64 단으로전환되면서발생하는변화는크게 3 가지라할수 있다. 즉, 1) 48 단에서 64 단으로의 Stack 수증가, 2) Nitride 식각방식의변화, 3) Staircase 증가이다. 3D NAND 역시중력의법칙에서벗어날수없기때문에높이쌓을수록하중부담이커지게된다. 또한높게쌓다보니 Nitride 식각방식으로 Wet 방식대신 Dry 방식의검토가필요하게된다. 마지막으로계단공정이늘어나면서 KrF 패터닝이더욱확대될것으로판단된다. 3D NAND 64 단공정변화 : Stack 수증가 + Nitride 식각방식변화 + Staircase 3D NAND: 48 단 à 64 단 Stack 증가 48 단에서 64 단으로확대 더빠르고균일한증착 기술필요 Nitride 식각방식변화 단수증가로 Nitride 식각난이도증가 WET à Dry Etch 기술도입 Staircase Stack 증가로계단패터닝증가 KrF 패터닝확대 자료 : 언론, NH 투자증권리서치센터 3D NAND Cell 구조 자료 : 언론, NH 투자증권리서치센터 26

27 반도체산업 방향 2: Cell on Peri à 아파트에서주상복합으로변화 Cell on Peri 또는 Peri under Cell 구조 3D NAND의두번째변화는 Cell on Peri 또는 Peri under Cell이다. Cell on Peri 와 Peri under Cell은같은개념으로 Peri 구조가 Cell 아래있는형태이다. 아파트에비유하자면현재 3D NAND가일반아파트구조라면 Cell on Peri 구조는주상복합아파트구조라할수있다. Cell on Peri 구조는아래사진과같이 Peri 구조를먼저형성하고 Cell을위에놓은형태이다. 최근마이크론이 3D NAND에서타사대비경쟁우위에있다고주장했던가장큰이유는바로 Cell on Peri 구조이다. 현재는마이크론만해당기술을도입하고있지만향후도시바, 삼성전자, SK하이닉스도해당기술을자사기술에접목할가능성이높은상황이다. Cell on Peri 구조 3D NAND 마이크론 자료 : NH 투자증권리서치센터 아파트 ( 아파트와상가가별도공간으로분리 ) à 기존구조 주상복합아파트 ( 상가가아파트아래있음 ) à Cell on Peri 자료 : 언론, NH 투자증권리서치센터 자료 : 언론, NH 투자증권리서치센터 27

28 반도체산업 Cell on Peri 는 3D NAND 원가개선에 도움 Cell on Peri 는 3D NAND 원가개선에도움을줄것으로판단된다. Peri 영역이전 체 3D NAND 칩사이즈의 30% 를차지하기때문에이를 Cell 과같이구성한다면 그만큼칩사이즈를줄일수있기때문이다. Cell on Peri 구조를활용하면인텔의틱톡전략처럼 3D NAND 제품전략을구사할수있다. 즉이번해에는 Stack수를늘리고그다음해에는 Cell on Peri 구조를도입하는방식이다. 또한 MLC 외에 TLC 그리고더나아가 QLC까지도입된다면 3D NAND의원가가 HDD에근접하는시점이생각보다빨라질것으로판단된다. 틱톡전략구사가능 ( 스택수증가 + Cell on Peri) 자료 : Intel, NH 투자증권리서치센터 3D NAND 원가방향 자료 : NH 투자증권리서치센터 28

29 반도체산업 방향 3: 3D NAND 업체증가로경쟁본격화 (1 개업체 à 6 개업체 ) 3D NAND 업체 1 개에서 6 개로급증 전망 2016년하반기부터 3D NAND 업체는 1개업체 ( 삼성전자 ) 에서마이크론, 도시바, 인텔, 샌디스크, SK하이닉스등 6개업체로늘어날전망이다. 또한최근중국 XMC 도 27조원이상의자금을활용하여 3D NAND 기술개발하겠다고발표한바있어 3D NAND 업체간경쟁은더욱가속화될전망이다. 2016년하반기업체별 3D NAND 진행방향을살펴보면삼성전자는작년 48단개발에이어 2016년하반기에 64단제품을선보일전망이며, 도시바및샌디스크의경우 48단을건너뛰고 64단진행을검토하고있다. 마이크론과인텔은 Floating Gate방식에 Cell on Peri 구조를활용하여집적도를높일것으로판단되며 SK하이닉스의경우 CTF(Charge Trap Flash) 방식으로 48단을추진할것으로분석된다. 3D NAND 경쟁업체증가 : 1 개업체 à 6 개업체 자료 : NH 투자증권리서치센터 2016 년하반기업체별 3D NAND 진행방향 자료 : NH 투자증권리서치센터 29

30 반도체산업 업체별 3D NAND 로드맵 H14 2H14 1H15 2H Samsung Planar 3D NAND 21nm 19nm 16nm 14nm 12(10)nm 24L 32L 48L 64L Toshiba SanDisk Planar 3D NAND 24nm 19nm 19Anm 15nm 12(10)nm 48L 64L Micron Intel Planar 3D NAND 20nm 16nm 12nm 32L 48/64L SK Hynix Planar 3D NAND 25nm 20nm 16nm 12(10)nm 32(36)L 48L 자료 : NH 투자증권리서치센터전망 30

31 반도체산업 삼성전자 48단에서 64단으로전환전망삼성전자는 2016년하반기 64단개발을완료하고양산을본격추진할전망이다. 삼성전자는이미 2013년하반기부터 24단을시작으로 3D NAND를양산해왔다. 물론이를통해의미있는실적을내지는못했지만 2016년부터는 48단양산안정화를바탕으로 3D NAND가수익성있는제품군으로성장할것으로예상된다. 삼성전자 3D NAND는 CTF(Charge Trap Flash) 방식으로 TANOS 구조를사용하는형태이다. Control Gate로는텅스텐 (W) 을사용하고있으며 Gate Last 구조로 ONO 증착후 Nitride를선택적으로식각하는프로세스를거치게된다. Nitride 식각방식으로현재 Wet Etching 방식을사용하나 64단부터는깊이문제로인해 Dry Etching 방식이검토되고있다. 삼성전자 3D NAND 구조 자료 : 언론, NH 투자증권리서치센터 삼성전자 3D NAND 방식콘셉트 자료 : 언론, NH 투자증권리서치센터 31

32 반도체산업 한편차기 3D NAND 생산라인은기존시안에서평택으로변경될가능성이매우높다. 삼성전자평택사업장은총 120만평으로세계최대규모의반도체생산라인이설립될전망이며 2017년상반기생산라인 1기가동을목표로하고있다. 가장먼저공사가이뤄지는곳은평택라인으로총 87.5만평수준인데, 이는삼성전자화성사업장 (48만평) 의두배규모이자기흥-화성을합친규모에해당된다. 평택의첫번째제품은 3D NAND 수요증가를감안시 64단 3D NAND일것으로판단된다. 삼성전자 3D NAND 프로세스 자료 : 언론, NH 투자증권리서치센터 삼성전자평택부지 삼성전자, 평택공사현장 자료 : 언론, NH 투자증권리서치센터 자료 : 언론, NH 투자증권리서치센터 32

33 반도체산업 도시바 / 샌디스크 - 48 단을건너뛰고 64 단추진 + Cell on Peri 도입검토 도시바 도시바는 3D NAND 기술을제일먼저개발했지만본격양산시점은 2016년하반기또는 2017년이될전망이다. 도시바는삼성전자와의경쟁을위해 48단을건너뛰고 64단의진행을검토하고있으며마이크론이개발하고있는 Cell on Peri 기술도같이적용할것으로보인다. 초기도시바의 3D NAND 는 Gate First 등삼성전자와기술적으로차이를갖고있 었지만최근삼성전자와유사해지면서기술적으로도삼성전자다음으로 3D NAND 제품구현이가능해질것으로판단된다. 도시바 3D NAND 도시바 3D NAND: P-BiCS 자료 : 언론, NH 투자증권리서치센터 자료 : 언론, NH 투자증권리서치센터 도시바 3D NAND 프로세스 자료 : 언론, NH 투자증권리서치센터 33

34 반도체산업 샌디스크 샌디스크는도시바와공동으로 3D NAND 개발을진행하고있으며더나아가 3D ReRAM 도개발중에있다. 하지만시장양산성확보가능시점을감안시샌디스 크도 3D NAND 를먼저양산할것으로판단된다. 웨스턴디지털, 샌디스크인수 샌디스크는미국웨스턴디지털에합병되면서양사간시너지를극대화할전망이다. 웨스턴디지털은 HDD업체로어찌보면 SSD를공급하는샌디스크와경쟁관계에있다. 웨스턴디지털은샌디스크합병을통해 SSD를서버및스토리지서버에적극공급할전망이어서 SSD의 HDD 대체에기여할것으로분석된다. 샌디스크로드맵 자료 : 언론, NH 투자증권리서치센터 샌디스크제품 Mix 변화 자료 : SanDisk, NH 투자증권리서치센터 34

35 반도체산업 마이크론 / 인텔 à Floating Gate + Cell On Peri 방식적용마이크론과인텔은 Floating Gate 방식에추가로 Cell on Peri 방식을도입하여 3D NAND를구현할전망이다. 마이크론과인텔은삼성전자 / 도시바 / 샌디스크 /SK하이닉스와달리 Floating Gate 방식을사용함으로써 2D NAND 장비활용도를높이고있다. 또한마이크론과인텔은 Cell on Peri 기술을도입하여주상복합아파트구조 (Peri 를형성하고 Cell을쌓는방식 ) 의 3D NAND를개발하고있다. 마이크론은당초 48 단을진행할계획이었으나 64단으로단수를올리는방안을검토중에있는데이는삼성전자와의기술격차를좁히기위한것으로보인다. 마이크론 3D NAND 구조 - Floating Gate 자료 : 언론, NH 투자증권리서치센터 마이크론 / 인텔로드맵 자료 : 언론, NH 투자증권리서치센터 35

36 반도체산업 마이크론 / 인텔 3D NAND 프로세스 마이크론과인텔의 3D NAND 제조프로세스를살펴보면 Oxide와 Poly 물질을 CVD(Chemical Vapor Deposition) 로순차적으로증착한후 Control Gate에해당되는 Poly 물질을에칭을통해일부식각한다. 이후 Floating Gate를증착하고필요없는부분은에칭한후터널 Oxide를증착하는방식으로 3D NAND를형성한다. 마이크론과인텔의 3D NAND는콘셉트상 Floating Gate와 Control Gate를수평으로형성하기때문에삼성전자및도시바방식보다이를 Gate들이더많은면적을차지하게된다. 마이크론과인텔은이런문제를극복하기위해 Cell on Peri 방식을도입하고있다. 통상반도체칩은 Cell과 Peri로구성되어있는데, 두업체는나란히있는기존구조대신 Peri를먼저형성하고 Cell을그위에형성함으로써집적도를높이는방식을추구하고있다. 마이크론 / 인텔 3D NAND 마이크론 / 인텔 3D NAND 구조 : Cell on Peri 자료 : 언론, NH 투자증권리서치센터 자료 : 언론, NH 투자증권리서치센터 마이크론 3D NAND 프로세스 자료 : 언론, NH 투자증권리서치센터 36

37 반도체산업 SK하이닉스 - 48단추진 SK하이닉스도하반기부터 3D NAND를본격화할것으로판단된다. SK하이닉스는 36단공정개발은완료한상황이며 48단으로양산화를진행할것으로판단된다. 통상 48단이나 64단으로진행할경우중력때문에층간하중이심해지는문제가발생하는데업계전체가극복해야하는문제를겪을것으로판단된다. SK하이닉스의 3D NAND도 CTF(Charge Trap Flash) 기반의제품구조를가지고있으며 SMArT(Stacked Memory Array Transistor) 라는제품명으로불리고있다. SK하이닉스는 3D NAND를우선기존라인에서구현하고이후 M14라인에서추가양산진행할것으로예상된다. SK 하이닉스 3D NAND 구조 자료 : 언론, NH 투자증권리서치센터 SK 하이닉스 3D NAND 방식 Category Node 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16 1Q17 2Q17 cmlc 32Gb 1Y nm 64Gb 1Y nm 128Gb 1Y nm 3D V2 emlc 128Gb 1Y nm 1Y nm etlc 128Gb 1Y nm 1Y nm 3D V3 256Gb - 3D V3 etlc 256Gb - 3D V3 주 : cmlc : Client MLC, emlc: Enterprise MLC 자료 : SK 하이닉스, NH 투자증권리서치센터전망 37

38 반도체산업 III. 시사점 : CVD(PECVD/ALD) + CMP/Cleaning + Dry Etch 공정확대 상기 3 가지방향성으로인해 CVD/Cleaning 공정및 Dry Etching 증가가예상된 다. 또한 Cell on Peri 구조로 CMP 공정및신규 CAPA 확대가예상된다. PECVD 및 ALD 증가첫번째시사점으로 3D NAND가 48단에서 64단으로고단화되면서 CVD (Chemical Vapor Deposition) 수요증가할것으로예상된다. 3D NAND는 Layer가매년증가할것이기때문에 PECVD 수요또한계속증가할것으로판단된다. 또한깊은 Hole 구현이후 Control Gate 구현을위한 ALD(Atomic Layer Deposition) 공정도증가할것으로예상되고있다. 관련주로는원익IPS, 테스가해당된다. Nitride 식각은 Wet Etching 에서 Dry Etching 으로변화 두번째시사점은 3D NAND가 48단에서 64단으로상향되면서 Nitride 식각방식이기존 Wet Etching 방식에서 Dry Etching 방식으로전환될것이란점이다. Wet Etching 방식은고선택비인산액으로선택에칭하는방식인데, 시간이많이걸리고정확도가떨어져서 Dry Etching 기술이도입될것으로판단된다. 관련주로는피에스케이, 테스가해당된다. Cell on Peri 구조로 CMP 확대 세번째시사점으로는향후 Cell on Peri(COP) 또는 Peri under Cell(PUC) 방식도입으로 CMP(Chemical Mechanical Polishing) 공정이확대될것으로전망된다. COP나 PUC의경우 Peri를먼저형성한후 CMP로단차를평탄화하고 Cell 구조를그위에형성하기때문이다. 관련주로는케이씨텍 (CMP/ 슬러리 ) 이해당된다. Stack 수증가로 Cleaning 확대 또한 3D NAND 의 64 단화로 3D NAND 스텝증가함에따라과산화수소수 (H2O2) Cleaning 공정이확대될전망이다. 과산화수소수 (H2O2) 는산화력이강한물질로 초순수인 DI (Deionized) Water 와함께 Wafer 제조공정기본 Cleaning 제품이다. 업체간투자확대 : 1 개업체 à 6 개업체 네번째시사점으로삼성전자외에도시바 / 샌디스크 / 마이크론 / 인텔 /SK 하이닉스 5 개 업체가 3D NAND 경쟁에가세하면서업체별투자가확대될것으로예상된다. 관련 주로는한양이엔지 ( 공급장치 ), 신성이엔지 ( 클린룸 ), 피에스케이 (Asher) 가해당된다. 3D NAND 변화시사점 : CVD(PECVD/ALD) + CMP/Cleaning + Dry Etch 공정확대 기술방향 주요변화주요내용수혜업체 3D NAND: 48 단 à 64 단 Stack 증가 PECVD/ALD 공정중요스텝확대 à H2O2 증가 원익 IPS(ONO), 테스 (ACL) 원익머트리얼즈 (CVD) Nitride 식각방식변화 WET à Dry Etch 도입 H2O2 클리닝확대사용증가 à H2O2 증가 피에스케이 ( 에치백 ) 테스 ( 가스에칭 ) Cell on Peri 주상복합구조로 CMP 공정확대 케이씨텍 (CMP 슬러리 ) 경쟁구도 업체간투자확대 1 개 à 6 개업체투자확대로 Asher 수주증가 한양이엔지 ( 인프라 ) 신성이엔지 ( 인프라 ) 피에스케이 (Asher) 자료 : NH 투자증권리서치센터 38

39 반도체산업 시사점 1: Stack 수증가로 CVD(PECVD/ALD) 및클리닝 ( 과산화수소수 ) 공정확대 CVD 공정수요증가 3D NAND가 48단에서 64단으로고단화되면서 CVD(Chemical Vapor Deposition) 수요가증가될것으로예상된다. 3D NAND의 Layer가매년증가할것이기때문에 PECVD 수요또한계속증가할것으로판단된다. 또한깊은 Hole 구현이후 Control Gate 구현을위한 ALD(Atomic Layer Deposition) 공정도증가할것으로예상되고있다. 클리닝 (H2O2) 공정 확대 한편공정스텝수증가로클리닝공정도확대될전망이다. 통상모든전공정진행전에클리닝공정이먼저이뤄지고해당프로세스 (CVD, Etch, Photo 등 ) 를마친후에도클리닝공정을진행하기때문에공정스텝수증가에따라클리닝공정수요증가할것으로예상된다. 3D NAND 구조 : Stack 수증가로 CVD 및클리닝 ( 과산화수소수 ) 확대 자료 : 언론, NH 투자증권리서치센터 3D NAND: 48à 64 단에따른 Stack 증가로생산성확대필요 자료 : 언론, NH 투자증권리서치센터 39

40 반도체산업 CVD(Chemical Vapor Deposition) 확대 PE CVD 수요확대 3D NAND가 48단에서 64단으로고단화되면서 CVD(Chemical Vapor Deposition) 공정및클리닝공정이증가할전망이다. 특히 CVD 공정중 PE CVD공정이증가하고있는데이는 ONO 증착이 50% 증가하기때문으로 PE CVD 생산성이중요한상황이다. 참고로 PE CVD는 ONO PECVD, ACL PECVD, ARC PECVD로구분된다. 원익 IPS, 테스수혜 전망 PE CVD 중 ONO 증착은 Oxide-Nitride-Oxide 증착으로, 3D NAND 업체대부분이채택하고있는 Gate Last 방식때문에생긴공정이다. ACL PECVD는 Amorphous Carbon Layer 구현을위한 CVD 공정이며, ARC PECVD는 Anti Reflective Coating을위한 CVD라할수있겠다. 상기공정들의증가로 ONO 증착과 ARC 증착장비업체인원익IPS, ACL PECVD 업체인테스의수혜가예상된다. CVD 공정확대 자료 : 언론, NH 투자증권리서치센터 CVD 공정종류 공정명칭 주요기능 LP CVD (Low Pressure CVD) 낮은공정압력에서균질하고얇은막질형성. 막질이양호하고, 스텝커버리지가우수 PE CVD (Plasma Enhanced CVD) 플라즈마를활용한증착기술 ALD (Atom Layer Deposition) 반응 Gas 를순차적으로주입하면서막질증착 적용막질 SiO2, Si3N4, Poly-Si PE-TEOS SiO2, ZrO2 막질기능 ( 질화막, 유전막 ) ( 절연막 ) ( 유전막 ) 주요업체유진테크, AMAT, TEL 원익 IPS, AMAT, 테스 AMAT, TEL, 원익 IPS, 주성엔지니어링, 테라세미콘 막질두께얇음보통아주얇음 자료 : 언론, NH 투자증권리서치센터 40

41 반도체산업 ONO 증착확대 : 원익 IPS ONO PECVD 공정은 3D NAND 스텍수와연관되어있다. 즉 3D NAND가 48단에서 64단으로고단화된다는것은 ONO 증착횟수가 48번에서 64번으로증가한다는것과같은의미이다. 따라서 3D NAND가 32단에서 48단, 48단에서 64단으로진행될때이론상 ONO 증착횟수는 50% 씩증가한다고볼수있다. 다만, 공정효율화와생산성제고를위해장비효율성이개선되고있어실제 ONO 증착횟수증가율은 30% 정도일것으로전망된다. ACL 증착확대 : 테스 ACL PECVD는포토공정및에칭공정과관련되어있다. ACL은 SOH(Spin on Hardmask) 와경쟁관계에있는물질로 3D NAND에서는주로 ACL을사용한다. ACL은말그대로비결정질탄소레이어로 3D NAND에서의포토공정내 PR(Photo Resist, 감광액 ) 이얇아지면서추가로 ACL을증착하는수요가증가하고있다. 3D NAND가고단화될수록더깊은에칭이필요하기때문에더두꺼운막질 (ACL) 구현이필요하다. ARC 증착확대 : 원익 IPS ARC PECVD 는포토공정상난반사를막기위해사용되며, 증착방식은 PE CVD 방식이라할수있다. 3D NAND: Staked Layer(ONO) + Hard Mask (ACL) 증가 2,000 1,500 1, Stacked Layer Hard Mask ONO 증착 + ACL 확대 à ONO 는 Stack 수증가로, à ACL 은깊게에칭을위해더두꺼운막질형성필요 자료 : NH 투자증권리서치센터 PECVD + ALD 증가 Dry Etching 공정 ALD 공정증가 ONO 증착공정증가 자료 : NH 투자증권리서치센터 41

42 반도체산업 ALD공정증가또한 Control Gate 구현을위해 ALD 공정이진행된다. ALD는 Atomic Layer Deposition 의약자로주로전구체를사용하게된다. 참고로전구체는 Si나메탈원소에각종리간드 (Ligand) 를부착하여 CVD공정에서증착 Gas로활용하는물질이다. 즉전구체에있는각종리간드는최종적으로는떨어져나가고 Si나메탈만남게되어원하는물질로막질을증착하게된다. 전구체 (Precursor) 해당전구체로는 HCDS (Hexa-Chloro-Di-Silane), DIPAS (Di-Isoprophyl- Amino- Silane) 등이있으며결과적으로합성되는 Layer는 Si기반전구체라할수있다. 이런전구체들의특징은산소기반으로분위기를조성해줄경우 Oxide 막질 (SiO2) 과같은절연막이되며, 질소분위기일경우 SiON이나 Si3N4와같은질화막을형성하게된다는데있다. 원익머트리얼즈 긍정적 High-K 역시기존 SiO2 대신 ZrO2나 HfO2를사용하는방식이다. 최종적으로 ZrO2 막질을형성하기위해서는거대원형 Penta 계열리간드가부착되어있는전구체가사용된다. Zirconium계열전구체의경우산소분위기에서최종적으로 ZrO2막이형성된다. 관련주로는원익머트리얼즈, 디엔에프및관련신규진입업체들이해당된다. 전구체 ( 희생막용 ): HCDS(Hexa Chloro Di-Silane) 전구체 (DPT 용 ): DIPAS (Diisoprophylamino Silane) 자료 : Chemspider, NH 투자증권리서치센터 자료 : Chemspider, NH 투자증권리서치센터 ALD 반응메커니즘 자료 : NH 투자증권리서치센터 42

43 반도체산업 공정스텝수증가로 H2O2 사용량확대 과산화수소수사용량 증가 3D NAND가 64단화됨에따라 3D NAND 제조스텝증가해과산화수소수 (H2O2) Cleaning 공정이확대될전망이다. 과산화수소수 (H2O2) 는산화력이강한물질로초순수인 DI (Deionized) Water와함께 Wafer제조공정기본 Cleaning 제품이다. 현재반도체용과산화수소수를공급하는업체로는동우화인켐, 한솔케미칼등이있다. 공정스텝수증가로 H2O2 사용량증가 1, 배증가 1,200 전공정 Process D NAND 3D NAND 48 단 3D NAND 64 단 자료 : 언론, NH 투자증권리서치센터 반도체세정 Solution- 과산화수소수 (H2O2) 를기본으로사용 자료 : 언론, NH 투자증권리서치센터 43

44 반도체산업 시사점 2: Nitride 선택적에칭확대 Nitride 선택적에칭 3D NAND가 48단에서 64단으로상향되면서 Nitride 식각방식은기존 Wet Etching 방식에서 Dry Etching 방식으로전환될것으로보인다. Wet Etching 방식은고선택비인산액으로 Nitride만선택에칭하는방식인데, 시간이많이걸린다는단점을갖고있다. 이에반해기존 Dry Etching 방식은한방향으로만식각하기때문에선택적에칭에는사용되지않았었다. 참고로 Wet Etching은액상에서에칭하는방식으로 360도에칭이가능하다. 즉방향성이없어서다양한각도에서에칭할수있다는장점이있다. 하지만 Wet Etching은속도가느리고정확성이떨어지기때문에 Dry Etching으로대체되고있다. Dry Etching은 SF2, C2F6와같은 Gas를사용하여에칭하는방식으로주로한방향으로식각하는방식이다. Wet Etching 보다속도가빠르고정확하지만한방향으로만에칭하는단점이있는방식이다. Etch 방식 자료 : 언론, NH 투자증권리서치센터 Wet Etch vs. Dry Etch 비교 자료 : 언론, NH 투자증권리서치센터 44

45 반도체산업 피에스케이, 테스 긍정적 위와같은단점에도불구최근 64단기술이진행되면서 Dry Etching 방식이다시검토되고있다. Dry Etching 방식을검토하는것은 Dry Etching이 Wet Etching보다속도가빠르고더정확한에칭이가능하기때문이다. Dry Etching 업체로는피에스케이 ( 에치백 ), 테스 ( 가스에칭 ) 가해당된다. 최근 Dry Etching 방식이발전함에따라선택적식각이가능해지면서 64 단이후 Dry Etching 도입가능성이높아지고있다. 따라서 Nitride 식각방식도기존 Wet Etching 방식에서 Dry Etching 방식으로빠르게전환될것으로판단된다. 3D NAND Etch 자료 : 언론, NH 투자증권리서치센터 64 단이후 3D NAND Etch 방식 자료 : 언론, NH 투자증권리서치센터 45

46 반도체산업 시사점 3. Cell on Peri 방식도입으로 CMP 공정확대전망 Cell on Peri 방식 도입으로 CMP 공정확대 3D NAND 관련향후 Cell on Peri(COP) 또는 Peri under Cell(PUC) 방식이도입될것으로전망됨에따라 CMP(Chemical Mechanical Polishing) 공정기술확대될것으로예상된다. COP나 PUC의경우 Peri를먼저형성한후 CMP로단차를평탄화하고 Cell구조를그위에형성하기때문이다. 케이씨텍긍정적 참고로 CMP 공정은아래개념도와같이 Platen 위에굴곡이있는 Pad가있고그위로 CVD 공정을거친 Wafer를 Head라는장치에부착시켜압력을가하면서회전시키는방식으로진행된다. 여기에 Slurry 용액이투하되면화학적으로 (Chemical), 또기계적으로 (Mechanical) 연마 (Polishing) 된다. 3D NAND CMP 자료 : 언론, NH 투자증권리서치센터 Cell on Peri 구조가 CMP 공정수요견인예상 자료 : 언론, NH 투자증권리서치센터 46

47 반도체산업 CMP 공정은 Metal CMP, Oxide CMP, Poly CMP로구성된다. 여러개로복잡하게느껴지지만실제로는 CVD 공정의막질성격에따라다른 Slurry( 연마재료 ) 를사용할뿐이다. 어떤 CMP든단차를낮추고평탄화하는것은동일하다. 아래그림과같이 CMP는 CVD 공정통해형성된막질을평탄화하는데사용된다. Metal CMP부터살펴보면크게 Tungsten CMP와 Cupper CMP로나눠진다. 이중에서 Cupper CMP의경우구리오염문제가심각하기때문에별도의클린룸에서공정이진행된다. 즉메탈오염이심하기때문에다른전공정과같이진행될수없다. Metal CMP의경우 Scratch와 Particle 이슈가가장큰문제이나 Oxide CMP 보다는상대적으로관리수준에여유가있는편이다. CMP 공정 자료 : 언론, NH 투자증권리서치센터 CMP 공정구조및방식 자료 : 언론, NH 투자증권리서치센터 47

48 반도체산업 Oxide CMP 역시 CVD를통해형성된절연막의단차를낮추거나평탄화하는공정이다. Oxide CMP는 STI CMP와 ILD/IMD CMP로나눠지며 STI CMP의난이도가상대적으로높다. Poly CMP 역시유사한목적으로사용된다. Oxide CMP의경우 Metal CMP에비해 Scratch와 Defect이발생하기쉽다. Oxide 막질이약하기때문이다. 따라서 Scratch와 Defect 차단에유리한 Slurry 사용이필수적이다. 케이씨텍유망 CMP 장비업체중해외업체로는 AMAT와 EBARA가대표적이며국내업체로는케이씨텍이유일하다. 시장점유율측면에서는 AMAT가 70% 이상으로독보적인위치를점하고있다. 국내케이씨텍도장비개발중에있으나주로 Oxide Buffing용 CMP 장비를공급하고있다. 케이씨텍은 Metal CMP 장비도개발하고있어향후추가매출이기대된다. CMP 프로세스메커니즘 Development of nano ceria slurry for under 90nm memory device 1 st & 2 nd step (Fumed Silica Slurry) - Polishing Amount : 300[nm] 3 rd step (Ceria Slurry) - Polishing Amount : 250[nm] High Selectivity - No Dishing, No Erosion 자료 : 언론, 한양대학교, NH 투자증권리서치센터 48

49 반도체산업 시사점 4: 업체별투자확대 삼성전자뿐아니라 모든업체 3D NAND 투자예상 네번째시사점으로는삼성전자외에도시바 / 샌디스크 / 마이크론 / 인텔 /SK하이닉스 5개업체가 3D NAND 경쟁에가세하면서업체별투자가확대될것으로예상된다. 도시바는약 4조원을투자해 3D NAND 개발을진행한다고발표한바있고마이크론 / 인텔도각각싱가포르과중국다렌공장에서 3D NAND를추진하고있다. 또한 SK하이닉스도청주 Fab 또는이천 M14를활용하여 3D NAND 투자진행할것으로예상되고있다. 삼성전자, 평택투자본격화한양이엔지, 신성이엔지, 유니셈, 원익IPS 수혜전망 삼성전자는평택라인을 2017년초가동한다는목표로공사를진행하고있다. 삼성전자는 2015년상반기착공해 2016년말경쯤이면기본적인공사를마무리하고 2017년상반기또는하반기에라인을가동하기시작할전망이다. 평택라인은총 87.5만평으로삼성전자화성사업장 (48만평) 의두배규모이자기흥-화성을합친규모에해당된다. 관련주로는한양이엔지, 신성이엔지, 유니셈, GST, 원익IPS가해당된다 년하반기 ~ 2017 년상반기 3D NAND 신규 CAPA 전망 (K wafers/month) 100 New CAPA Samsung Toshiba/Sandisk SK Hyinix Micron/Intel 자료 : 언론, NH 투자증권리서치센터 삼성전자평택라인조감도 자료 : 언론, NH 투자증권리서치센터 49

50 반도체산업 IV. Epilogue: 무어의법칙재구성 3D NAND 의진화 미세공정과 3 차원 구조융합 올해는무어의법칙이탄생한지 51 주년이되는의미있는한해이다. 미세공정한 계로 3 차원구조가최근출현하고있으며그중심에는 3D NAND 가있다. 3D NAND 관련기술이진보하고있으며경쟁구도도더욱확대될것으로판단된다. Stack 증가 + Cell on Peri(COP) 3D NAND는지속적인 Stack수증가와 COP(Cell on Peri) 기술출현으로집적도를더욱높일것으로판단된다. 이런기술흐름은향후 3D NAND 기반의 SSD가 HDD 대비원가경쟁력을갖추는계기가될전망이다. 2016년하반기말부터 64단 3D NAND 시대가도래할것이며 Cell on Peri 기술은 3D NAND의원가를더욱낮출수있을것으로판단된다. PE CVD/ ALD공정수혜. 관련주 : 원익IPS, 테스 Nitride 식각은 Dry Etch 로변화. 관련주 : 피에스케이 3D NAND가 48단에서 64단으로고단화되면서 PE CVD(Plasma Enhanced Chemical Vapor Deposition) 수요증가할것으로예상된다. 또한깊은 Hole 구현이후 Control Gate 구현을위한 ALD(Atomic Layer Deposition) 공정도증가할것으로예상되고있다. 관련주로는원익IPS, 테스가해당된다.. 또한 3D NAND가 48단에서 64단으로상향되면서 Nitride 식각방식이기존 Wet Etching 방식에서 Dry Etching 방식으로전환될것을보인다. Wet Etching 방식은고선택비인산액으로선택에칭하는방식인데시간이많이걸리고정확도가떨어져서 Dry Etching 기술이도입될것으로판단된다. 관련주로는피에스케이, 테스가해당된다. Cell on Peri à 케이씨텍 3D NAND 관련향후 Cell on Peri(COP) 또는 Peri under Cell(PUC) 방식도입으로 CMP(Chemical Mechanical Polishing) 공정이확대될것으로전망된다. COP나 PUC의경우 Peri를먼저형성한후 CMP로단차를평탄화하고 Cell구조를그위에형성하기때문이다. 관련주로는케이씨텍 (CMP/ 슬러리 ) 이해당된다. 과산화수소수증가 또한 3D NAND 의 64 단화로 3D NAND 스텝증가함에따라과산화수소수 (H2O2) Cleaning 공정이확대될전망이다. 과산화수소수 (H2O2) 는산화력이강한물질로 초순수인 DI (Deionized) Water 와함께 Wafer 제조공정기본 Cleaning 제품이다. 신규 CAPA 추가 한편삼성전자외에도시바 / 샌디스크 / 마이크론 / 인텔 /SK 하이닉스 5 개업체가 3D NAND 경쟁에가세하면서업체별투자가확대될것으로예상된다. 관련주로는한 양이엔지 ( 공급장치 ), 신성이엔지 ( 클린룸 ), 피에스케이 (Asher) 가해당된다. 무어의법칙은재구성되고있다. 3D NAND는 48단에서 64단으로업그레이드되고 Cell on Peri(COP) 기술등장으로추가진화할전망이다. 3D NAND는이제 SSD의가격을 HDD에근접하게만들수있는솔루션이되었으며, 모든업체들이 3D NAND 경쟁에참여할것으로판단된다. 무어의법칙은 3차원으로재구성되고있으며 2016년에도진화하고있다. 50

51 반도체산업 3D NAND 방향 : 48 단 à 64 단 + Cell on Peri 구조 + 6 개업체로확대 반도체방향 주요변화 관련종목 3D NAND 48 단 à 64 단 CVD(PECVD) ALD Dry Etch 공정 Cleaning 확대 CVD: 원익 IPS, 테스 ALD: 원익머트리얼즈 Etch: 피에스케이, 테스 Cleaning: H2O2 관련업체 Cell On Peri 구조 CMP 및슬러리확대 CMP: 케이씨텍 1 개 à 6 개업체로확대 인프라공사 + 장비투자 피에스케이, 한양이엔지, 신성이엔지, 유니셈, GST, 원익 IPS 자료 : NH 투자증권리서치센터 무어의법칙 자료 : Intel, NH 투자증권리서치센터 무어의법칙재구성 : 3D NAND 자료 : Intel, NH 투자증권리서치센터 51

52 삼성전자 ( KS) 64 단 3D NAND 로 3 차원구조선도 Company Report 삼성전자는세계최초로 64 단 3D NAND 상용화하여 3 차원구조를주도 할전망 년에는 3D NAND 실적개선이가시화될전망 3D NAND 실적본격화및 IM 사업부 ( 무선사업부 ) 실적회복전망 목표주가 1,650,000 원은 RIM 을통해산출되었음. 목표주가는 48 단 3D NAND 양산본격화되고시스템반도체실적이회복되는 2016 년실적기준 PER 11.4 배, PBR 1.4 배에해당 삼성전자의 3D NAND 실적본격화및 IM 사업부원가개선에따른스마트폰실적회복전망 투자포인트 : 3D NAND + DDR4 원가경쟁력강화 + IM 부문실적회복 3D NAND: 삼성전자는 32 단 Stack 에서 48 단 Stack 으로공정전환하여 3D NAND 경쟁력강화중 년에는 64 단 3D NAND 추진으로원가경쟁력확대예상. 클라이언트시장뿐아니라엔터프라이즈시장에서도시장장악력강화될것으로예상되며 2017 년에는모바일에도 3D NAND 채용전망 DDR4 경쟁력강화 : 삼성전자는 DDR4 공정을 20nm 공정에서 1x nm(10 나노급, 1nm=10 억분의 1nm) 공정으로전환함으로써원가경쟁력지속확보예상. DRAM 가격은하락하겠지만원가경쟁력으로타사대비양호한실적시현전망 모바일차별화 : 갤럭시 S7 출시로 IM 부문실적회복전망됨. 16 년하반기, 또는 17 년에는플렉서블디스플레이를활용한접는스마트폰출시로향후스마트폰분기 2 조원이상의영업이익을유지할수있는발판을구축할것으로판단 2016 년연간영업이익 26.4 조원전망 년에는신규스마트폰출시및 3D NAND 사업개선으로실적개선전망 Buy ( 유지 ) 목표주가 1,650,000원 ( 유지 ) 현재가 ( 16/04/28) 1,265,000원 업종 반도체 KOSPI / KOSDAQ 2, / 시가총액 ( 보통주 ) 183,512.7십억원 발행주식수 ( 보통주 ) 143.0백만주 52주최고가 ( 15/04/30) 1,410,000원 최저가 ( 15/08/26) 1,067,000원 평균거래대금 (60일) 252,340백만원 배당수익률 (2016E) 2.06% 외국인지분율 49.6% 주요주주 삼성물산외 9인 17.9% 국민연금공단 8.3% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 2017F 2018F 매출액 200, , , ,859 증감률 영업이익 26,413 26,404 27,513 28,448 영업이익률 ( 지배지분 ) 순이익 18,695 21,260 22,544 23,318 EPS 124, , , ,338 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 -58,692-75,931-92, ,133 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치센터전망 삼성전자 3D NAND Analyst 이세철 02) , peter.lee@nhwm.com Analyst 김대근 02) , d.kim@nhwm.com 자료 : 삼성전자, NH 투자증권리서치센터

53 삼성전자 1. RIM 에의한목표주가 1,650,000 원 목표주가는 2016 년 PER 11.4 배에해당, 상승여력 30.8% 삼성전자에대해목표주가 1,650,000원및투자의견 Buy를유지한다 ( 현주가대비 31% 의상승여력보유 ). 목표주가 1,650,000원은 RIM을통해산출되었다. 3D NAND와스마트폰실적회복이예상되는 2016년실적기준 PER 11.4배에해당한다. 삼성전자잔여이익모델 (RIM) ( 단위 : 십억원, 원 ) 2016E 2017F 2018F 2019F 2020F 2021F 2022F 2023F 2024F 2025F 2026F 2027F Net profit 21,260 22,544 23,318 24,506 26,112 27,216 29,372 32,022 35,031 38,463 42,728 47,982 Shareholder s equity 190, , , , , , , , , , , ,627 Forecast ROE (FROE) 11.7% 11.3% 10.8% 10.4% 10.2% 9.8% 9.8% 9.8% 9.9% 10.1% 10.4% 10.8% Spread (FROE-COE) 2.6% 2.2% 1.6% 1.3% 1.1% 0.7% 0.6% 0.7% 0.8% 1.0% 1.3% 1.7% Residual income 4,679 4,386 3,516 2,960 2,702 1,817 1,851 2,228 2,818 3,665 5,148 7,379 Cost of equity (COE) 9.1% Beta 1.0 Market risk premium (Rm-Rf) 5.2% Risk-free rate (Rf) 4.0% Beginning shareholder s equity 172,877 PV of forecast period RI 25,786 PV of continuing value 18,164 Equity value (C+P) 216,827 No of shares (common, mn) 142,969 12m TP Fair price (C) 1,655,181 Current price (C) 1,265,000 Upside (-downside) 30.8% Implied P/B (x) 1.6 Implied P/E (x) 11.2 주 : RIM(Residual Income Model, 잔여이익모델 ) 은현금흐름할인모형의하나로주주입장에서투자원금인자기자본과자기자본비용을초과하는이익 ( 잔여이익 ) 의현재가치를합한금액을적정주주가치 ( 자기자본가치 ) 로보는 Valuation 모델이다. 산식으로표현하면다음과같다. 자기자본가치 = 자기자본 + 미래잔여이익의현재가치합 * 잔여이익 (RIt) = 당기순이익 t - 자기자본 t-1 * 자기자본비용 (Cost of Equity)t = 자기자본 t-1 * (ROEt - COEt) RIM 은이론적으로배당할인모형 (DDM), 잉여현금흐름할인모형 (DCF) 과같은결과를도출하면서도측정지표상의주관성을최소화시킨객관적인 Valuation 모델이라고할수있어당사 Valuation 기본모델로사용하고있다. Market Risk Premium 적용기준 (Guidance) 구분 Mega Cap. Large Cap. Middle Cap. Small Cap. 기준 M-cap 10 조원이상 M-cap M-cap M-cap 2 천억 ~1 조원 +'BBB+' 이상 + 신용등급 'AAA' 이상 1 조 ~10 조원 + 'A0' 이상 2 천억원미만 +'BBB-' 이상 Risk Premium 5.2% 6.0% 7.0% 8.0% * Risk Free Rate = 4.0%( 공통 ) 53

54 삼성전자 2. 투자포인트 : 3D NAND + DDR4 원가경쟁력강화 + IM 부문실적회복 투자포인트 1 : 3D NAND 3D NAND 확대 삼성전자는 3D NAND 양산경험이있는유일한회사로 48단공정전개시기존 32단보다원가를 30% 이상낮출수있을것으로판단된다. 삼성전자는 3D NAND 경쟁력강화를위해 32단 Stack에서 48단 Stack으로공정전환을진행중에있다. 또한 2016년에는 64단으로의전환을진행하면서원가경쟁력을더욱높일것으로판단된다. 참고로 3D NAND를 32단에서 48단으로전개할경우 1) 높게쌓다보니셀간하중문제로 Layer가무너지는문제가발생할수있고, 2) 더깊게에칭해야하는문제가있으며, 3) 계단공정이더많아지는어려움이있다. 따라서단순히 32단구현되었다고 48단이나 64단으로쉽게진행되지는못한다. 즉 3차원구조의난이도때문에진입장벽이높아지는셈이다. 이런기술난이도는 64단에서도심화될것으로판단되는바 NAND업체간기술차별화가발생할것으로판단된다. 삼성전자 3D NAND 방향 자료 : 삼성전자, NH 투자증권리서치센터 삼성전자 3D NAND 원가방향 자료 : 삼성전자, NH 투자증권리서치센터 54

55 삼성전자 투자포인트 2 : DDR4 경쟁력강화 DDR4 원가경쟁력 확대 삼성전자는 20nm에이어 18nm 공정전환으로 DDR4 원가경쟁력을갖출전망이다. 삼성전자는최대용량의 DDR4를양산할수있는체제를갖추고있다. 참고로 12Gb LPDDR4는 20nm 8Gb LPDDR4 DRAM보다용량은 50%, 속도는 30% 이상높다. DDR4 는가장최신사양의 PC DRAM 과비교해도속도가 2 배빠르며소비전력은 20% 낮은제품이다. 12Gb LPDDR4 의최고속도는 4,266Mbps 로 PC DRAM 최고 사양속도인 2,133Mbps 보다도훨씬빠른솔루션이다. 12Gb DDR4는단품으로 1.5GB 구현이가능하며 2개를적층했을경우 3GB, 4개를적층했을경우 6GB 구현이가능하다. 해당응용처로는향후고사양스마트폰의 6GB 채택을유도할수있으며, 태블릿이나올인원모바일솔루션도구현가능할것으로판단된다. 삼성전자 DDR4 자료 : 삼성전자, NH 투자증권리서치센터 삼성전자 8GB LPDDR4 자료 : 삼성전자, NH 투자증권리서치센터 55

56 삼성전자 투자포인트 3 : 갤럭시 S7 으로 IM 실적회복 원가경쟁력강화 삼성전자는갤럭시S7 출시하면서외형보다는내실에집중하고있다. 갤럭시S7의디자인은갤럭시S6를계승하였다. 배터리용량은 S6 2,550mAh에서 S7 3,000mAh 로확대되었으며방수방진기능을추가하였다. 또한 micro SD 카드를채용함으로써스토리지용량을확대할수있도록구현하였다. 삼성전자갤럭시 S7/S7 Edge는디스플레이로 5.1 AMOLED, AP로 Exynos 8890 과 Qualcomm Snapdragon 820, 메모리로 4GB LPDDR4를탑재하였다. NAND는 32/64GB를채용하였고카메라는전면 5MP( 백만화소 ), 후면 12MP 듀얼픽셀이미지센서를탑재하였다. 한편갤럭시S7 Edge는 5.5 AMOLED 디스플레이와배터리 3,600mAh를채용하였고그외 S7과동일한스펙을갖고있다. 삼성전자는또한기어 360 공개하는등가상현실기능강화할것으로판단된다. 삼성전자는 360도촬영이가능한기어 360 및기어VR과갤럭시S7/S7 Edge를연동하여가상현실기능확대하고있으며향후오큘러스와연동함으로써가상현실플랫폼을강화할것으로예상된다. 삼성갤럭시 S7 자료 : 삼성전자, NH 투자증권리서치센터 56

57 삼성전자 삼성전자스마트폰제품라인업 모델명 Galaxy S7 Galaxy Note 5 Galaxy S6 Edge Plus Galaxy S6 Edge Galaxy E5 Galaxy A3 Galaxy A5 Galaxy A7 외관 Display Resolution 5.1 Super AMOLED 1440x ppi 5.7 Super AMOLED 1440x ppi 5.7 Super AMOLED 1440x ppi 5.1 QHD Super AMOLED 2560x1440, 577 ppi 5.0 Super AMOLED 1280x720, 294ppi 4.5 Super AMOLED 960x540, 245ppi 5.0 Super AMOLED 1280x720, 294ppi 5.2 Super AMOLED 1080p FHD( 추정 ) 무게 152g 171g 153g N/A N/A 110g 123g N/A 저장용량 32/64GB 32/64GB 32/64GB 32/64/128G B 16GB 16GB 16GB 12GB RAM 4GB 4GB 4GB 3GB 1.5GB 1.5GB 2GB 1.8GB 카메라 후면 :12MP +OIS 전면 : 5MP 후면 :16MP +OIS 전면 : 5MP 후면 :16MP +OIS 전면 : 5MP 후면 : 16MP+OIS, 전면 : 5MP 후면 : 8MP, 3264x2448 전면 : 5MP 후면 : 8MP, 3264x2448 전면 : 5MP 후면 : 13MP, 4128x3096 전면 : 5MP 후면 : 13MP, 4128x3096 전면 : 5MP OS Android Android Android Android Android Android Android Android Dual-core Octa-core 2.15GHz & Dualcore 1.6GHz 1.5GHz Coretex- Quad-core Quad-core 1.2 Quad-core Quad-core 1.5GHz (Quad-core Coretex-A53 & 2.1 GHz & Quad-core 1.2 Octa-core 1.5 CPU or A53 & Quad-core 1.2 GHz GHz Cortex- Quad-core 2.1Ghz Quad-core GHz GHz Quad-core 2.1Ghz Coretex- Cortex-A53 A53 Coretex-A GHz), 2.3GHz + Quadcore A57 1.6GHz Chipset Snapdragon 820 or Exynos 8890 Exynos 7420 Exynos 7420 Exynos 7420 N/A Qualcomm MSM8916 Snapdragon 410 Qualcomm MSM8916 Snapdragon 410 Qualcomm Snapdragon 615 (ARMv7) Battery 3000mAh 3000mAh 3000mAh 2600mAh 2400mAh 1900mAh 2300mAh N/A 자료 : 삼성전자, GSMArena 57

58 삼성전자 삼성전자스마트폰판매량 Break Down ( 단위 : 백만대 ) 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16E 3Q16F 4Q16F E Handset Smartphone 고급형 (High-end) S 노트 S 노트 S S6 Edge Plus 노트 S 보급형 (Mid) 저가형 (Low) Feature phone 자료 : Counterpoint, NH 투자증권리서치센터 삼성전자사업부별실적추이및전망 ( 단위 : 십억원, %) ( 십억원 ) 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16E 3Q16F 4Q16F E 매출액반도체 10,273 11,293 12,822 13,209 11,150 11,896 12,789 13,249 39,718 47,597 49,084 Memory 8,317 8,493 9,140 8,344 7,939 8,223 9,046 9,414 29,261 34,294 34,622 DRAM 5,724 5,664 6,165 5,417 4,781 4,878 5,442 5,648 19,791 22,971 20,750 Flash 2,594 2,829 2,975 3,076 3,158 3,345 3,604 3,765 9,310 11,473 13,872 System LSI 1,906 2,750 3,622 4,246 2,771 3,463 3,522 3,586 10,117 12,523 13,342 Display 6,851 6,624 7,491 6,533 6,040 7,249 7,451 7,563 25,734 27,499 28,302 IM 25,890 26,060 26,610 25,001 27,605 26,271 26,539 25, , , ,969 Handset 24,990 25,500 25,940 24,087 26,660 25,683 25,769 24,456 38,150 53, ,568 System ,097 3,040 14,010 3,401 CE 10,259 11,199 11,592 13,846 10,619 11,858 12,258 15,237 50,186 46,895 49,972 Total 47,118 48,538 51,680 53,320 49,782 50,636 52,093 54, , , ,999 영업이익반도체 2,930 3,402 3,657 2,799 2,630 2,609 2,947 3,155 8,774 12,788 11,340 Memory 3,099 3,257 3,422 2,584 2,400 2,401 2,771 2,937 10,010 12,361 10,509 DRAM 2,730 2,779 3,039 2,427 1,902 1,785 2,015 2,055 8,399 10,977 7,757 Flash ,610 1,384 2,752 System LSI , Display , IM 2,740 2,760 2,400 2,254 3,891 3,591 3,019 2,394 14,560 10,154 12,896 CE ,187 1,256 2,032 Total 5,979 6,898 7,393 6,141 6,676 6,835 6,583 6,310 25,025 26,411 26,404 영업이익률반도체 28.5% 30.1% 28.5% 21.2% 23.6% 21.9% 23.0% 23.8% 22.1% 26.9% 23.1% Memory 37.3% 38.3% 37.4% 31.0% 30.2% 29.2% 30.6% 31.2% 34.2% 36.0% 30.4% DRAM 47.7% 49.1% 49.3% 44.8% 39.8% 36.6% 37.0% 36.4% 42.4% 47.8% 37.4% Flash 14.2% 16.9% 12.8% 5.1% 15.8% 18.4% 21.0% 23.4% 17.3% 12.1% 19.8% System LSI -8.3% 5.5% 6.5% 6.4% 4.2% 6.0% 5.0% 6.0% -12.0% 4.0% 5.4% Display 7.6% 8.2% 12.5% 4.5% -4.5% 1.7% 3.3% 3.4% 2.6% 8.3% 1.2% IM 10.6% 10.6% 9.0% 9.0% 14.1% 13.7% 11.4% 9.4% 13.0% 9.8% 12.2% CE -1.4% 1.9% 3.1% 5.9% 4.8% 5.1% 3.1% 3.6% 2.4% 2.7% 4.1% Total 12.7% 14.2% 14.3% 11.5% 13.4% 13.5% 12.6% 11.6% 12.1% 13.2% 12.8% 주 : IFRS 연결기준자료 : 삼성전자, NH 투자증권리서치센터전망 58

59 삼성전자 부문별 Key Data ( 단위 : 십억원, M.pcs, $) 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16E 3Q16F 4Q16F E DRAM 매출액 5,724 5,664 6,165 5,417 4,781 4,878 5,442 5,648 19,791 22,971 20,750 변동률 0% -1% 9% -12% -12% 2% 12% 4% 40% 16% -10% 영업이익 2,730 2,779 3,039 2,427 1,902 1,785 2,015 2,055 8,399 10,977 7,757 영업이익률 48% 49% 49% 45% 40% 37% 37% 36% 42% 48% 37% 출하량 (1Gb eq.) 5,786 6,060 7,016 6,808 6,741 7,706 8,990 9,879 20,097 25,670 33,316 변동률 2% 5% 16% -3% -1% 14% 17% 10% 56% 28% 30% ASP(1Gb eq.) 변동률 -4% -6% -11% -9% -12% -9% -4% -6% -7% -16% -32% Flash 매출액 2,594 2,829 2,975 3,076 3,158 3,345 3,604 3,765 9,310 11,473 13,872 변동률 8% 9% 5% 3% 3% 6% 8% 4% -3% 23% 21% 영업이익 ,610 1,384 2,752 영업이익률 14% 17% 13% 5% 16% 18% 21% 23% 17% 12% 20% 출하량 (8Gb eq.) 5,735 6,524 7,365 8,597 9,375 10,687 12,131 13,150 18,059 28,221 45,344 변동률 12% 14% 13% 17% 9% 14% 14% 8% 39% 56% 61% ASP(8Gb eq.) 변동률 -6% -4% -12% -11% -8% -5% -5% -4% -28% -27% -27% Sys LSI 매출액 1,906 2,750 3,622 4,246 2,771 3,463 3,522 3,586 10,117 12,523 13,342 변동률 -21% 44% 32% 17% -35% 25% 2% 2% -22% 24% 7% 영업이익 , 영업이익률 -8% 6% 7% 6% 4% 6% 5% 6% -12% 4% 5% Display 매출액 6,851 6,624 7,491 6,533 6,040 7,249 7,451 7,563 25,734 27,499 28,302 변동률 -3% -3% 13% -13% -8% 20% 3% 1% -18% 7% 3% 영업이익 , 영업이익률 8% 8% 12% 5% -4% 2% 3% 3% 3% 8% 1% 출하량 변동률 11% -4% -4% -2% 11% -4% -4% -2% -10% 0% 0% ASP 변동률 -7% -16% 13% -18% -44% 54% 0% 1% -29% -11% -31% IM 매출액 25,890 26,060 26,610 25,001 27,605 26,271 26,539 25, , , ,969 변동률 -2% 1% 2% -6% 10% -5% 1% -4% -19% -7% 2% 영업이익 2,740 2,760 2,400 2,254 3,891 3,591 3,019 2,394 14,560 10,154 12,896 영업이익률 11% 11% 9% 9% 14% 14% 11% 9% 13% 10% 12% 출하량 변동률 2% -10% 16% -6% -7% -1% 5% -4% -11% -5% -7% ASP 변동률 -4% 16% -19% -3% 20% 1% 0% -9% -7% -13% 6% 자료 : NH 투자증권리서치센터전망 59

60 삼성전자 삼성전자 Media Tablet 제품라인업 모델명갤럭시탭 S2 8.0 갤럭시탭 S 8.0 갤럭시탭 갤럭시노트 Pro 12.2 외관 OS 안드로이드 5.0 안드로이드 4.4 안드로이드 4.4 안드로이드 4.4 CPU 1.9GHz 쿼드코어 & 1.3GHz 1.9GHz 옥타코어 & 1.3Ghz 2.3GHz 쿼드코어 1.2GHz 쿼드코어쿼드코어쿼드코어 Display 8.0"Super AMOLED LCD (320ppi) 8.0"Super AMOLED LCD (359ppi) 7.0" TFT LCD (216ppi) 12.2" Super Clear LCD (247ppi) (1536x 2048) (1600 x 2560) (800 x 1280) (2560 x 1600) 카메라 800 만화소 800 만화소 315 만화소 800 만화소 크기 198.6x134.8x5.6mm 212.8x125.6x6.6mm 186.9x107.9x9.0mm 295.6x203.9x8.0mm 무게 265g 298g 276g 750g 배터리용량 4,000mAh 4,900mAh 4,000mAh 9,500mAh 자료 : 삼성전자 Forward PER Band Trailing PBR Band (won) 3,500,000 3,000,000 2,500,000 Price 5.0x 7.0x 9.0x 11.0x 13.0x (won) 2,500,000 2,000,000 Price 0.9x 1.2x 1.5x 1.8x 2.1x 2,000,000 1,500,000 1,500,000 1,000,000 1,000, , ,000 0 '05.1 '06.1 '07.1 '08.1 '09.1 '10.1 '11.1 '12.1 '13.1 '14.1 '15.1 '16.1 '17.1 자료 : NH 투자증권리서치센터 0 '05.1 '06.1 '07.1 '08.1 '09.1 '10.1 '11.1 '12.1 '13.1 '14.1 '15.1 '16.1 '17.1 자료 : NH 투자증권리서치센터 60

61 삼성전자 삼성전자 Peer group Valuation Table ( 단위 : 백만달러 ) 삼성전자 SK하이닉스 Intel Micron Toshiba TSMC LGD Apple HTC LG전자 Mkt Cap 164,069 18, ,126 11,936 9, ,424 7, ,577 2,243 8,332 매출액 ,404 16,620 55,355 16,192 60,849 26,570 25, ,715 3,833 49, E 176,485 13,984 57,248 12,480 55,071 28,217 23, ,314 3,332 51, F 179,602 14,718 59,271 13,782 50,550 31,041 23, ,981 3,786 53,484 영업이익 ,353 4,718 14,002 2,998 1,558 10,141 1,437 71, , E 21,549 2,127 13, ,750 10, , , F 22,359 2,547 16,170 1,106 1,191 12, , ,748 EBITDA ,859 8,213 22,713 5,665 3,295 17,150 4,422 82, , E 40,400 6,153 21,417 3,527-2,022 18,282 3,113 75, , F 42,018 6,796 23,892 4,642 2,962 20,247 3,747 80, ,356 순이익 ,529 3,822 11,420 2, , , E 16,994 1,685 10, ,416 9, , F 17,814 2,029 12, , , EPS (USD) 2016E F BPS , (USD) 2016E 1, F 1, Net debt , ,603 1,707 9,783-10,061 1, ,204-1,083 5,081 (-net cash) 2016E -53, ,674 4,922 10,167-11,781 1, ,373-1,047 5, F -61,658-1,714 1,659 5,725 9,822-14,890 2, ,723-1,005 5,067 PER N/A N/A 76.1 ( 배 ) 2016E N/A N/A F N/A 10.7 PBR ( 배 ) 2016E F EV/EBITDA N/A 5.2 ( 배 ) 2016E N/A N/A F N/A 4.4 ROE (%) 2016E F 자료 : Bloomberg Consensus 61

62 삼성전자 STATEMENT OF COMPREHENSIVE INCOME Valuation / Profitability / Stability ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F 2015/12A 2016/12E 2017/12F 2018/12F 매출액 200, , , ,859 PER( 배 ) 증감률 (%) PBR( 배 ) 매출원가 123, , , ,123 PCR( 배 ) 매출총이익 77,171 80,229 82,932 85,736 PSR( 배 ) Gross 마진 (%) EV/EBITDA( 배 ) 판매비와일반관리비 50,758 53,825 55,420 57,287 EV/EBIT( 배 ) 영업이익 26,413 26,404 27,513 28,448 EPS( 원 ) 124, , , ,338 증감률 (%) BPS( 원 ) 1,016,129 1,158,393 1,264,383 1,377,710 OP 마진 (%) SPS( 원 ) 1,362,216 1,440,638 1,485,198 1,523,814 EBITDA 47,344 53,323 54,698 55,963 자기자본이익률 (ROE, %) 영업외손익 ,555 1,875 2,023 총자산이익률 (ROA, %) 금융수익 ( 비용 ) ,181 1,606 투하자본이익률 (ROIC, %) 기타영업외손익 -2, 배당수익률 (%) 종속, 관계기업관련손익 1, 배당성향 (%) 세전계속사업이익 25,961 27,959 29,388 30,472 총현금배당금 ( 십억원 ) 3,069 3,646 4,347 4,347 법인세비용 6,901 6,310 6,458 6,727 보통주주당배당금 ( 원 ) 21,000 26,000 31,000 31,000 계속사업이익 19,060 21,649 22,930 23,745 순부채 ( 현금 )/ 자기자본 (%) 당기순이익 19,060 21,649 22,930 23,745 총부채 / 자기자본 (%) 증감률 (%) 이자발생부채 12,874 14,729 14,529 14,666 Net 마진 (%) 유동비율 (%) 지배주주지분순이익 18,695 21,260 22,544 23,318 총발행주식수 ( 백만 ) 비지배주주지분순이익 액면가 ( 원 ) 5,000 5,000 5,000 5,000 기타포괄이익 주가 ( 원 ) 1,260,000 1,265,000 1,265,000 1,265,000 총포괄이익 19,136 20,674 21,966 23,124 시가총액 ( 십억원 ) 210, , , ,035 STATEMENT OF FINANCIAL POSITION CASH FLOW STATEMENT ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F 현금및현금성자산 22,637 40,430 55,508 71,938 영업활동현금흐름 40,062 47,502 48,641 50,366 매출채권 25,168 25,838 26,505 27,194 당기순이익 19,060 21,649 22,930 23,745 유동자산 124, , , ,339 + 유 / 무형자산상각비 20,931 26,919 27,185 27,515 유형자산 86,477 85,923 86,247 86,848 + 종속, 관계기업관련손익 -1, 투자자산 13,609 14,039 14,401 14,776 + 외화환산손실 ( 이익 ) 비유동자산 117, , , ,256 Gross Cash Flow 48,671 52,639 53,982 54,881 자산총계 242, , , ,596 - 운전자본의증가 ( 감소 ) -4, 단기성부채 11,377 13,607 13,572 13,766 투자활동현금흐름 -27,168-29,002-29,869-29,967 매입채무 6,187 6,383 6,548 6,718 + 유형자산감소 유동부채 50,503 53,970 54,976 56,247 - 유형자산증가 (CAPEX) -25,880-24,500-25,500-26,000 장기성부채 1,497 1, 투자자산의매각 ( 취득 ) 4, 장기충당부채 Free Cash Flow 14,182 23,002 23,141 24,366 비유동부채 12,617 12,594 12,724 12,973 Net Cash Flow 12,894 18,500 18,771 20,399 부채총계 63,120 66,564 67,700 69,220 재무활동현금흐름 -6,574-1,205-3,846-4,209 자본금 자기자본증가 자본잉여금 4,404 4,404 4,404 4,404 부채증감 -6,574-1,205-3,846-4,209 이익잉여금 185, , , ,914 현금의증가 5,796 17,793 15,078 16,430 비지배주주지분 6,183 6,572 6,958 7,386 기말현금및현금성자산 22,637 40,430 55,508 71,938 자본총계 179, , , ,375 기말순부채 ( 순현금 ) -58,692-75,931-92, ,133 62

63 SK 하이닉스 ( KS) 3D NAND 추진 + DDR4 확대 Company Report SK 하이닉스는 3 세대 3D NAND 인 48 단추진으로 3D NAND 투자진행 전망. 상반기에는수요둔화영향으로실적약세가전망되나하반기이후 수요회복에따른실적개선기대 투자의견 Buy 및목표주가 41,000 원유지 목표주가 41,000 원은 RIM 을통해산출되었으며, DRAM 과점화지속및 NAND 경쟁력개선이예상되는 2016 년실적기준 PBR 1.3 배, PER 16.5 배에해당 DDR4 및 3D NAND 3 세대제품개발을통한제품역량강화전망 2016 년하반기 48 단 3D NAND 추진전망 SK 하이닉스는 2 세대인 36 단 3D NAND 개발을마무리하였으며, 16 년말에는 3 세대 (48 단 ) 3D NAND 사전검증을시작해 3 세대 NAND 시대를열어나갈전망 SK 하이닉스 3D NAND 는 CTF(Charge Trap Flash) 주 ) 기반으로진행중 DDR4 비중확대로 DRAM 경쟁력강화 M14 공장내 2Z nm(20 나노초반, 1nm=10 억분의 1nm) 공정확대로 DDR4 물량증대추진 년에는 Server 뿐아니라 PC 에서도 DDR4 적용확대되면서타업체와제품경쟁력차별화전망 상반기실적은저조하겠으나하반기에는스마트폰메모리탑재량증가로모바일 DRAM 수요확대예상. PC 수요약세가위험요소이나 DRAM 가격흐름은 3 분기하향안정화전망 주 ) CTF: 부도체에전기를저장토록함으로써최소크기의소자를구현하는기술 Buy ( 유지 ) 목표주가 41,000원 ( 유지 ) 현재가 ( 16/04/28) 27,900원 업종 반도체 KOSPI / KOSDAQ 2, / 시가총액 ( 보통주 ) 20,311.3십억원 발행주식수 ( 보통주 ) 728.0백만주 52주최고가 ( 15/06/02) 51,200원 최저가 ( 16/01/21) 26,600원 평균거래대금 (60일) 88,077백만원 배당수익률 (2016E) 1.79% 외국인지분율 47.6% 주요주주 SK텔레콤외 5인 20.8% 국민연금공단 8.1% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 2017F 2018F 매출액 18,798 15,542 16,304 16,984 증감률 영업이익 5,336 2,016 2,299 2,565 영업이익률 ( 지배지분 ) 순이익 4,322 1,527 1,756 2,001 EPS 5,937 2,097 2,412 2,749 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 ,547-1,915-2,737 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치센터전망 DRAM 가격추이 ($) Spread (RHS) DDR3 4Gb 1600Mhz Spot DDR3 4Gb 1600Mhz Contract '13.4 '13.7 '13.10 '14.1 '14.4 '14.7 '14.10 '15.1 '15.4 '15.7 '15.10 '16.1 '16.4 ($) Analyst 이세철 02) , peter.lee@nhwm.com Analyst 김대근 02) , d.kim@nhwm.com 자료 : DRAMeXchange, NH 투자증권리서치센터

64 SK 하이닉스 1. RIM 에의한목표주가 41,000 원제시 목표주가는 2016 년 PBR 1.3 배에해당, 상승여력 47.3% SK하이닉스에대해목표주가 41,000원및투자의견 Buy를유지한다 ( 현주가대비 47% 의상승여력보유 ). 목표주가 41,000원은 RIM을통해산출되었다. 48단 3D NAND 추진및 DDR4 확대예상되는 2016년실적기준 PBR 1.3배및 PER 16.5배에해당한다. SK 하이닉스잔여이익모델 (RIM) ( 단위 : 십억원, 원 ) 2016E 2017F 2018F 2019F 2020F 2021F 2022F 2023F 2024F 2025F 2026F 2027F Net profit 1,527 1,756 2,001 2,197 2,425 2,666 3,928 4,416 4,963 5,581 6,281 7,078 Shareholder s equity 23,008 24,306 25,772 27,330 29,115 31,142 34,056 37,243 40,725 44,530 48,686 53,228 Forecast ROE (FROE) 6.9% 7.4% 8.0% 8.3% 8.6% 8.8% 12.1% 12.4% 12.7% 13.1% 13.5% 13.9% Spread (FROE-COE) -2.8% -2.3% -1.7% -1.4% -1.1% -0.9% 2.4% 2.7% 3.0% 3.4% 3.8% 4.2% Residual income ,182 1,446 1,760 2,135 Cost of equity (COE) 9.7% Beta 1.0 Market risk premium (Rm-Rf) 6.0% Risk-free rate (Rf) 4.0% Beginning shareholder s equity 21,387 PV of forecast period RI 1,376 PV of continuing value 4,505 Equity value (C+P) 27,268 No of shares (common, mn) 728,002 12m TP Fair price (C) 41,089 Current price (C) 27,900 Upside (-downside) 47.3% Implied P/B (x) 1.4 Implied P/E (x) 18.7 주 : RIM(Residual Income Model, 잔여이익모델 ) 은현금흐름할인모형의하나로주주입장에서투자원금인자기자본과자기자본비용을초과하는이익 ( 잔여이익 ) 의현재가치를합한금액을적정주주가치 ( 자기자본가치 ) 로보는 Valuation 모델이다. 산식으로표현하면다음과같다. 자기자본가치 = 자기자본 + 미래잔여이익의현재가치합 * 잔여이익 (RIt) = 당기순이익 t - 자기자본 t-1 * 자기자본비용 (Cost of Equity)t = 자기자본 t-1 * (ROEt - COEt) RIM 은이론적으로배당할인모형 (DDM), 잉여현금흐름할인모형 (DCF) 과같은결과를도출하면서도측정지표상의주관성을최소화시킨객관적인 Valuation 모델이라고할수있어당사 Valuation 기본모델로사용하고있다. Market Risk Premium 적용기준 (Guidance) 구분 Mega Cap. Large Cap. Middle Cap. Small Cap. 기준 M-cap 10 조원이상 M-cap M-cap M-cap 2 천억 ~1 조원 +'BBB+' 이상 + 신용등급 'AAA' 이상 1 조 ~10 조원 + 'A0' 이상 2 천억원미만 +'BBB-' 이상 Risk Premium 5.2% 6.0% 7.0% 8.0% * Risk Free Rate = 4.0%( 공통 ) 64

65 SK 하이닉스 투자포인트 : DRAM 가격하향안정화 + DDR4 확대 + 48 단 3D NAND 추진 투자포인트 1: DRAM 가격하향안정화 DRAM 가격안정화 DRAM 가격은상반기내 4GB DDR3 모듈기준, 마이크론 30nm Cash Cost( 생산원가 ) 인 12달러대에근접할전망이다. 마이크론 30nm 한계원가는 10달러대이나과거와달리치킨게임을하는상황은아니기때문에이후 DRAM 가격은하향안정화될전망이다. 다만수요약세가지속되고있어업체재고가소진되는하반기이후가격안정세가본격화될전망이다. NAND 고정가의경우도재고축적수요둔화로하락세가지속되고있다. 단공급측면 NAND 주요제조사들이 3D NAND CAPA( 생산능력 ) 는확대하는반면 2D NAND CAPA는추가확대하지않고있어전체 NAND 공급증가는제한되고있다. 이에따라 NAND 가격하락폭은하반기이후점진적으로축소될것으로전망된다. DRAM- 4Gb DDR3 단품가격변동 ($) ($) 5.0 Spread (RHS) DDR3 4Gb 1600Mhz Spot DDR3 4Gb 1600Mhz Contract '13.4 '13.7 '13.10 '14.1 '14.4 '14.7 '14.10 '15.1 '15.4 '15.7 '15.10 '16.1 '16.4 자료 : DRAMeXchange, NH 투자증권리서치센터 NAND- 64Gb MLC 단품가격변동 ($) ($) Spread (RHS) 64Gb MLC spot price 64Gb MLC contract price '11.4 '11.7 '11.10'12.1 '12.4 '12.7 '12.10 '13.1 '13.4 '13.7 '13.10'14.1 '14.4 '14.7 '14.10 '15.1 '15.4 '15.7 '15.10 '16.1 '16.4 자료 : DRAMeXchange, NH투자증권리서치센터 65

66 SK 하이닉스 투자포인트 2: DDR4 확대 DDR4 확대 SK하이닉스는 M14 Fab 완공을기점으로 DDR4 양산을본격화하고있다. M14 Fab 에서생산될제품의대부분은 LPDDR4를포함한 DDR4로예상된다. 특히 SK 하이닉스는 16년초 2z nm 공정전환을추진하고있어 2016년 DDR4 본격추진이예상된다. 본격적인 DDR4 물량증가시점은 3분기이후가될전망이다. 공정전환특성상초기수율을안정시키는데어려움이있기때문이다. 언론에의하면 SK하이닉스는 M14 Fab 준공식에서향후총 46조원을투자해 M14 외에국내에두개의반도체공장을더구축하겠다는미래비전도밝혔다. M14 구축에는 15조원, 나머지두공장의구축에는 31조원을투자할것으로판단된다. SK 하이닉스, M14 전경 자료 : SK 하이닉스, NH 투자증권리서치센터 SK 하이닉스 DDR4 기반 128GB 모듈 SK 하이닉스 Fab 내부 자료 : SK 하이닉스, NH 투자증권리서치센터 자료 : SK 하이닉스, NH 투자증권리서치센터 66

67 SK 하이닉스 투자포인트 3: 48단 3D NAND 추진 48단 3D NAND 추진 SK하이닉스는 2세대인 36단 3D NAND 개발을마무리하고 16년에는 3세대 (48단) 3D NAND 사전검증을시작해 3세대 NAND 시대를열어나갈것으로예상된다. 2016년은 3D NAND가본격화되는시기이기때문에 SK하이닉스역시 3D NAND 를적극적으로검토할것으로판단된다. 3D NAND를생산하는데있어기존 2D NAND Fab을그대로사용하기힘들기때문에기존 Fab을개조하거나 M14와같은신규 Fab을사용하는방법을고려해야한다. SK하이닉스의경우초기 3D NAND 라인으로는기존팹을개조해활용하고이후신규라인추가증설을진행할것으로판단된다. SK 하이닉스, 3D NAND Wafer 자료 : SK 하이닉스, NH 투자증권리서치센터 SK 하이닉스 3D NAND 로드맵 자료 : SK 하이닉스, NH 투자증권리서치센터 67

68 SK 하이닉스 분기실적추이 ( 단위 : 십억원, %) ( 십억원 ) 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16E 3Q16F 4Q16F E 매출액 Memory 4,702 4,546 4,771 4,294 3,552 3,710 3,914 3,943 16,605 18,314 15,118 DRAM 3,624 3,458 3,651 3,318 2,801 2,850 3,043 3,084 13,164 14,052 11,779 Flash 1,078 1,088 1, ,441 4,262 3,340 기타 Total 4,818 4,639 4,925 4,416 3,656 3,808 4,022 4,056 17,126 18,798 15,542 영업이익 Memory 1,587 1,375 1,389 1, ,097 5,351 2,023 DRAM 1,534 1,314 1,318 1, ,179 5,219 2,675 Flash 기타 Total 1,589 1,375 1, ,109 5,336 2,016 영업이익률 Memory 34% 30% 29% 23% 16% 11% 13% 13% 31% 29% 13% DRAM 42% 38% 36% 32% 24% 20% 23% 23% 39% 37% 23% Flash 5% 6% 6% -5% -14% -19% -22% -23% -2% 3% -20% 기타 1% 0% -4% -9% -11% 0% 3% 2% 2% -3% -2% Total 33% 30% 28% 22% 15% 11% 13% 13% 30% 28% 13% 주 : IFRS 연결기준자료 : SK 하이닉스, NH 투자증권리서치센터전망 부문별 Key Data ( 단위 : 십억원, %, 백만대 ) ( 십억원 ) 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16E 3Q16F 4Q16F E DRAM Flash 매출액 3,624 3,458 3,651 3,318 2,801 2,850 3,043 3,084 13,164 14,052 11,779 변동률 -7% -5% 6% -9% -16% 2% 7% 1% 29% 7% -16% 영업이익 1,534 1,314 1,318 1, ,179 5,219 2,675 영업이익률 42% 38% 36% 32% 24% 20% 23% 23% 39% 37% 23% 출하량 (1Gb eq.) 3,796 3,945 4,396 4,434 4,282 4,890 5,357 5,879 13,403 16,571 20,409 변동률 -5% 4% 11% -1% -3% 14% 10% 10% 34% 24% 23% ASP(1Gb eq.) 변동률 -4% -8% -11% -10% -14% -9% -3% -8% 1% -21% -26% 매출액 1,078 1,088 1, ,441 4,262 3,340 변동률 -5% 1% 3% -13% -23% 15% 1% -1% 0% 24% -22% 영업이익 영업이익률 5% 6% 6% -5% -14% -19% -22% -23% -2% 3% -20% 출하량 (8Gb eq.) 2,395 2,578 2,954 3,058 2,722 3,459 3,766 3,892 6,699 10,985 13,839 변동률 0% 8% 15% 4% -11% 27% 9% 3% 60% 64% 26% ASP(8Gb eq.) 변동률 -7% -6% -15% -15% -15% -8% -7% -5% -35% -30% -32% 주 : IFRS 연결기준자료 : SK 하이닉스, NH 투자증권리서치센터전망 68

69 SK 하이닉스 Global Peer Analysis ( 단위 : USD mn) 회사명 SK하이닉스 삼성전자 Toshiba Micron Nanya Inotera 시가총액 18, , , , , ,964.4 매출액 , ,404 60,849 16,192 1,382 1, E 13, ,485 55,071 12,480 1,265 1, F 14, ,602 50,550 13,782 1,213 1,886 영업이익 ,718 23,353 1,558 2, E 2,127 21,549-3, F 2,547 22,359 1,191 1, EBITDA ,213 41,859 3,295 5, , E 6,153 40,400-2,022 3, , F 6,796 42,018 2,962 4, ,080 순이익 ,822 16, , E 1,685 16,994-6, F 2,029 17, EPS ( 달러 ) E F BPS ( 달러 ) , E , F , Net Debt ,938 9,783 1, (-Net Cash) 2016E ,736 10,167 4, F -1,714-61,658 9,822 5, PER ( 배 ) N/A E N/A F PBR ( 배 ) E F EV/EBITDA ( 배 ) E N/A F ROE (%) E F 자료 : Bloomberg 컨센서스 69

70 SK 하이닉스 SK 하이닉스연간 ROE 추이및전망 Trailing PBR Band (%) ROE '10 '11 '12 '13 '14 '15 '16E '17F (won) 100,000 90,000 80,000 70,000 60,000 50,000 40,000 30,000 20,000 10,000 Price 0.7x 1.2x 1.7x 2.2x 2.7x 0 '05.1 '06.1 '07.1 '08.1 '09.1 '10.1 '11.1 '12.1 '13.1 '14.1 '15.1 '16.1 '17.1 자료 : NH 투자증권리서치센터전망 자료 : NH 투자증권리서치센터 70

71 SK 하이닉스 STATEMENT OF COMPREHENSIVE INCOME Valuation / Profitability / Stability ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F 2015/12A 2016/12E 2017/12F 2018/12F 매출액 18,798 15,542 16,304 16,984 PER( 배 ) 증감률 (%) PBR( 배 ) 매출원가 10,515 10,407 10,761 11,048 PCR( 배 ) 매출총이익 8,283 5,135 5,543 5,935 PSR( 배 ) Gross 마진 (%) EV/EBITDA( 배 ) 판매비와일반관리비 2,947 3,119 3,244 3,370 EV/EBIT( 배 ) 영업이익 5,336 2,016 2,299 2,565 EPS( 원 ) 5,937 2,097 2,412 2,749 증감률 (%) BPS( 원 ) 29,377 31,605 33,387 35,400 OP 마진 (%) SPS( 원 ) 25,821 21,349 22,396 23,329 EBITDA 9,289 6,845 7,400 7,848 자기자본이익률 (ROE, %) 영업외손익 총자산이익률 (ROA, %) 금융수익 ( 비용 ) 투하자본이익률 (ROIC, %) 기타영업외손익 배당수익률 (%) 종속, 관계기업관련손익 배당성향 (%) 세전계속사업이익 5,269 1,973 2,268 2,586 총현금배당금 ( 십억원 ) 법인세비용 보통주주당배당금 ( 원 ) 계속사업이익 4,324 1,527 1,756 2,002 순부채 ( 현금 )/ 자기자본 (%) 당기순이익 4,324 1,527 1,756 2,002 총부채 / 자기자본 (%) 증감률 (%) 이자발생부채 3,819 2,794 2,000 1,205 Net 마진 (%) 유동비율 (%) 지배주주지분순이익 4,322 1,527 1,756 2,001 총발행주식수 ( 백만주 ) 비지배주주지분순이익 액면가 ( 원 ) 5,000 5,000 5,000 5,000 기타포괄이익 주가 ( 원 ) 30,750 27,900 27,900 27,900 총포괄이익 4,342 1,509 1,753 1,993 시가총액 ( 십억원 ) 22,386 20,311 20,311 20,311 STATEMENT OF FINANCIAL POSITION CASH FLOW STATEMENT ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F 현금및현금성자산 1,176 1, 영업활동현금흐름 9,320 6,435 6,724 7,186 매출채권 2,628 2,173 2,280 2,375 당기순이익 4,324 1,527 1,756 2,002 유동자산 9,760 8,445 8,220 8,427 + 유 / 무형자산상각비 3,953 4,829 5,101 5,283 유형자산 16,966 18,303 19,342 19,995 + 종속, 관계기업관련손익 투자자산 외화환산손실 ( 이익 ) 비유동자산 19,918 21,055 21,964 22,591 Gross Cash Flow 9,525 6,828 7,375 7,845 자산총계 29,678 29,500 30,185 31,018 - 운전자본의증가 ( 감소 ) 단기성부채 1, 투자활동현금흐름 -7,126-5,335-6,137-6,025 매입채무 유형자산감소 유동부채 4,841 4,029 3,967 3,889 - 유형자산증가 (CAPEX) -6,775-6,000-6,000-5,800 장기성부채 2,806 1,929 1, 투자자산의매각 ( 취득 ) 장기충당부채 Free Cash Flow 2, ,386 비유동부채 3,450 2,462 1,910 1,355 Net Cash Flow 2,194 1, ,161 부채총계 8,290 6,490 5,877 5,244 재무활동현금흐름 -1, ,147-1,254 자본금 3,658 3,739 3,739 3,739 자기자본증가 자본잉여금 4,144 4,526 4,526 4,526 부채증감 -1,462-1,379-1,147-1,254 이익잉여금 14,359 15,533 16,830 18,301 현금의증가 비지배주주지분 기말현금및현금성자산 1,176 1, 자본총계 21,388 23,009 24,307 25,773 기말순부채 ( 순현금 ) ,547-1,915-2,737 71

72 원익 IPS ( KQ) 3D NAND 확대의대표수혜주 Company Report 원익 IPS 는전공정업체들의원가절감필요성확대에따른 3D NAND 전 환가속화에수혜전망. 인적분할이후장비사업을영위하는신설법인원 익 IPS 에대해매수권고 3D NAND 시장확대에따른실적성장지속 2016 년에는삼성전자를중심으로 3D NAND 시장이본격화될전망. 3D NAND 는 48 단 64 단 72 단등고단으로발전해가면서제조공정에있어더얇고균일한증착기술을요함 이에따라원익 IPS 의 3D NAND 용 PE CVD (Oxide-Nitride-Oxide 증착, 플라즈마화학증착장비 ), ALD( 원자층증착장비 ) 장비수요확대전망 또한 3D NAND 제조공정에있어텅스텐메탈게이트증착공정이필수적임을감안시원익 IPS Metal Gate PE CVD 장비수주모멘텀확보전망 디스플레이시장에서의대형 OLED 투자또한추가적인실적견인가능 원익 IPS 는 Dry Etcher 식각장비, Evaporation 장비 ( 유기물증착 ), 그리고 TFE(Thin Film Encapsulation) 장비 ( 봉지층형성 ) 군을보유. 향후원익 IPS 의주요고객사인삼성디스플레이의대형 OLED 주 ) 투자가이어질경우추가적인실적견인가능 2016 년실적매출 6,817 억원, 영업이익 1,086 억원전망 3D NAND 와 OLED 투자사이클은 2018 년까지지속될것으로전망되는바원익 IPS 의수주모멘텀또한이와궤를같이할전망 인적분할이후장비사업부문을담당하는신설법인원익 IPS 매수권고 주 ) OLED: 유기발광다이오드, 유기화합물을사용해자체발광시키는차세대디스플레이 Buy ( 유지 ) 목표주가 15,000원 ( 유지 ) 현재가 ( 16/04/28) 11,100원 업종 반도체 KOSPI / KOSDAQ 2, / 시가총액 ( 보통주 ) 893.6십억원 발행주식수 ( 보통주 ) 80.5백만주 52주최고가 ( 15/06/22) 16,150원 최저가 ( 15/11/10) 8,340원 평균거래대금 (60일) 21,884백만원 배당수익률 (2016E) 0.00% 외국인지분율 17.5% 주요주주원익외 9 인 23.0% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 2017F 2018F 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS ,105 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치센터전망 원익그룹지배구조 ( 향후전개예상도 ) 이용한 비상장사 상장사 100% 호라이즌캐피탈 6.90% 원익 38.8% 40.5% 원익 QnC 21.0% 73.5% 10.2%+@ 29.7% 위닉스 원익홀딩스 시원종합개발 6.80% 5.5% 18.8% 원익투자파트너스 78.4% 13.0% 6.2%+@% 46.5% 40.0% 테라세미콘 원익아이피에스 원익머트리얼즈 노바켐 원익엘앤디 50.7% 14.3% 원익큐브 40.0% 6.4% Analyst 김대근 02) , d.kim@nhwm.com Analyst 이세철 02) , peter.lee@nhwm.com 자료 : NH 투자증권리서치센터전망

73 원익 IPS 투자의견 Buy 목표주가 15,000 원 유지 당사는원익IPS에대해투자의견 Buy, 목표주가 15,000원을유지한다. 목표주가는 RIM(Residual income model, 잔여이익모델 ) 을통해산정되었으며 3D NAND 시장본격화와 OLED 시장확대가예상되는 2016년실적기준 PER 18.7배, PBR 2.5배에해당한다. 원익 IPS 잔여이익모델 (RIM) ( 단위 : 십억원, 원 ) 2016E 2017F 2018F 2019F 2020F 2021F 2022F 2023F 2024F 2025F 2026F 2027F Net profit Shareholder s equity ,127 1,319 1,547 1,819 2,149 2,558 Forecast ROE (FROE) 14.3% 14.1% 14.8% 14.9% 15.0% 15.0% 15.4% 15.7% 15.9% 16.2% 16.6% 17.4% Spread (FROE-COE) 4.0% 3.8% 4.5% 4.6% 4.7% 4.7% 5.1% 5.4% 5.6% 5.9% 6.3% 7.1% Residual income Cost of equity (COE) 10.3% Beta 0.9 Market risk premium (Rm-Rf) 7.0% Risk-free rate (Rf) 4.0% Beginning shareholder s equity 421 PV of forecast period RI 353 PV of continuing value 332 Equity value (C+P) 1,106 No of shares (common, mn) 80,501 Fair price (C) 15,155 Current price (C) 11,100 Upside (-downside) 36.5% Implied P/B (x) 2.8 Implied P/E (x) 18.0 주 : RIM(Residual Income Model, 잔여이익모델 ) 은현금흐름할인모형의하나로주주입장에서투자원금인자기자본과자기자본비용을초과하는이익 ( 잔여이익 ) 의현재가치를합한금액을적정주주가치 ( 자기자본가치 ) 로보는 Valuation 모델이다. 산식으로표현하면다음과같다. 자기자본가치 = 자기자본 + 미래잔여이익의현재가치합 * 잔여이익 (RIt) = 당기순이익 t - 자기자본 t-1 * 자기자본비용 (Cost of Equity)t = 자기자본 t-1 * (ROEt - COEt) RIM 은이론적으로배당할인모형 (DDM), 잉여현금흐름할인모형 (DCF) 과같은결과를도출하면서도측정지표상의주관성을최소화시킨객관적인 Valuation 모델이라고할수있어당사 Valuation 기본모델로사용하고있다. Market Risk Premium 적용기준 (Guidance) 구분 Mega Cap. Large Cap. Middle Cap. Small Cap. 기준 M-cap 10 조원이상 M-cap M-cap M-cap 2 천억 ~1 조원 +'BBB+' 이상 + 신용등급 'AAA' 이상 1 조 ~10 조원 + 'A0' 이상 2 천억원미만 +'BBB-' 이상 Risk Premium 5.2% 6.0% 7.0% 8.0% * Risk Free Rate = 4.0%( 공통 ) 73

74 원익 IPS 실적의성장성 2016 삼성전자반도체 Capex: 114억달러 ( 한화 13.8 조원 ) 원익IPS의주요고객사인삼성전자 2016년반도체부문 Capex( 설비투자 ) 는전방산업의성장둔화로전년대비 13.5% 줄어든 114억달러 ( 한화 12.9조원 ) 수준에서집행할것으로전망된다. 삼성전자가 20nm DRAM 비중을계속확대하고있는가운데, 2016년 2분기에는 1X nm(10나노후반 ) 급제품도나올것으로예상된다. 그비중은 3분기말부터의미있게늘어날것으로판단된다. System LSI에서도공정미세화는지속될전망이다. 기존 14nm에서 1Z nm(10나노초반 ) 급으로공정전환하여시스템반도체부문에서의미세화경쟁에서도우위를이어나갈전망이다. 한편 2016년공정미세화경쟁이지속되는가운데삼성전자는전반적인전방산업의수요위축및시장내 DRAM 공급과잉우려에따라보완투자수준의투자를집행할것으로전망된다. 즉삼성전자는공정전환에따른자연손실분을보완하기위해 16년 2분기이후부터 DRAM, System LSI 부문에투자집행될전망이다. NAND의경우 3D NAND 관련투자가 2분기내이루어질것으로예상된다. 현재삼성전자의 3D NAND 주력제품은 48단으로경쟁사대비한세대를앞서있는상황이다. 삼성전자는후발업체와의기술적격차를더욱벌리기위해 2016년하반기내로 64단비중을본격늘릴것으로전망된다. 3D NAND 가 48 단 64 단등고단으로갈수록더얇고균일하게증착해야하기 때문에원익 IPS 의 ONO PE CVD 장비수요확대가전망된다. 또한, 3D NAND 는 Nitride 를 HSN(High Selectivity Nitride) 으로식각한부분에메 탈 CVD 로텅스텐메탈게이트를필수적으로증착해야하기때문에원익 IPS 의 Metal Gate PE CVD 제품의수요또한늘어날것으로예상된다. 글로벌반도체업체 Capex 추이및전망 2016 년글로벌반도체업체 Capex 비중 (USD mn) 50,000 40,000 United Microelectronics Group SK Hynix TSMC Group Micron Technology Intel Samsung Electronics 20% 15% 19.2% 16.0% 14.3% 30,000 10% 8.6% 8.9% 20,000 10,000 5% 0 '12 '13 '14 '15 '16F 0% Samsung Electronics TSMC Group Intel SK Hynix Micron Technology 자료 : Gartner, NH 투자증권리서치센터 자료 : Gartner, NH 투자증권리서치센터 74

75 원익 IPS 반도체증착장비 관련투자비중 : 21.4% 통상적으로반도체 CAPEX 중반도체증착장비관련비중이 21.4% 에달하는것을고려하면원익IPS의최대고객사인삼성전자의경우증착장비에약 2.7조원의투자를집행할것으로예상된다. 삼성전자내원익IPS의증착장비점유율이약 8% 대로추산되는바관련비율만큼의 CAPEX 집행을가정하면 2016년삼성전자향매출은약 2,156억원에달할것으로전망된다. 또한 SK 하이닉스로는 2014 년부터 DRAM 향 PE CVD 를공급하기시작하여원익 IPS 의 SK 하이닉스향매출비중은크지않으나같은논리로추정시 233 억원의매 출을기록할것으로예상된다. 반도체 CAPEX 내증착장비관련집행비중 23% 22% 21% 20.5% 22.1% 22.1% 21.4% 21.0% 21.0% 20.8% 20% 19.9% 19% 18% '12 '13 '14 '15 '16 '17 '18 '19 자료 : 원익 IPS, NH 투자증권리서치센터 삼성전자반도체관련 CAPEX 투자규모추이및전망 (USD mn) 14,000 13,000 12,000 11,000 SK 하이닉스반도체관련 CAPEX 투자규모추이및전망 (USD mn) 6,000 5,000 4,000 3,000 2,000 1,000 10,000 '12 '13 '14 '15 '16E 0 '12 '13 '14 '15 '16E 자료 : Gartner, NH 투자증권리서치센터 자료 : Gartner, NH 투자증권리서치센터 75

76 원익 IPS 디스플레이 Keyword: OLED 최근디스플레이업계내최고의화두는단연 OLED 이다. 공정기술의발달및제조장비의성능개선으로 OLED 제품과 LCD 제품간의프리미엄은빠른속도로좁혀지고있다. 이에따라 OLED 패널시장출하량은 2015년 3,663만대에서 2020년 8,646만대로 CAGR(2014~ 2020) 24.0% 의고성장이전망된다. 삼성디스플레이는 2016년에도디스플레이산업내 OLED 부문경쟁우위를점하고후발업체들과의기술격차를벌리기위해관련투자를지속해나갈전망이다 년기준삼성디스플레이는약 6조원의 CAPEX를집행할것으로예상된다. 전체디스플레이 CAPEX 중 Etcher 장비에사용되는비중이약 4% 에달하는것을감안시 LCD/OLED 공정용 Dry Etcher를공급하는원익IPS의 Etcher 매출은약 692 억원에달할전망이다. 추가적으로원익IPS는 OLED 공정용봉지장비 (Encapsulation) 와증착 (Evaporation) 장비의개발을마친상태이다. 특히 Evaporation 장비의경우 OLED TV제조공정에사용되는것으로, 향후 OLED TV 관련투자집행시국내패널업체에게공급될가능성이높다고판단한다. 봉지장비의경우주요고객사를중심으로제품테스트중이며향후수주를통해동사의펀더멘털강화에기여할수있을것으로기대된다. 특히 2016 년글로벌디스플레이패널업체들의 Dry Etching 장비투자규모는전 년대비 32.4% 증가한 4 억달러에이를전망이다. 이와같은기조는 2017 년에도 이어져원익 IPS 의주요장비인 Dry Etcher 의수주모멘텀이기대된다. OLED 패널출하량전망 CAGR ( 14~ 20) 24.0% 성장 디스플레이 Dry Etching 장비투자전망추이및전망 (mn units) 1, CAGR (2014~ 2020) : 24.0% '14 '15 '16 '17 '18 '19 '20 (USD mn) '12 '13 '14 '15 '16 '17 자료 : IHS, NH 투자증권리서치센터 자료 : IHS, NH 투자증권리서치센터 76

77 원익 IPS 원익 IPS 의 Dry Etcher 장비 원익 IPS 의 Evaporation 장비 자료 : Gartner, NH 투자증권리서치센터 자료 : Gartner, NH 투자증권리서치센터 주요디스플레이업체 OLED 투자추이및전망 Country Player Fab Phase Tech Application 발주셋업양산 China Korea BOE BOE B7 Chengdu LTPS 1 LTPS AMOLED Mar-16 Nov-16 Sep-17 2 LTPS AMOLED Mar-16 Nov-16 Sep-17 BOE Ordos B6 LTPS 2 LTPS LCD+AMOLED Dec-15 Aug-16 Jan-17 CSOT CSOT Wuhan LTPS T3 2 LTPS LCD+AMOLED May-16 Jan-17 Oct-17 EDO Ever Display 2 LTPS 1 LTPS AMOLED Mar-16 Feb-17 Oct-17 TIANMA TNM Zuoling Wuhan LTPS 1 LTPS LCD+AMOLED Mar-16 Jan-17 Jul-17 2 LTPS LCD+AMOLED Jan-17 Aug-17 Feb-18 TRULY TLY Huizhou LTPS 1 LTPS LCD+AMOLED Aug-17 Feb-18 Sep-18 VISIONOX VSX Chengdu LTPS 1 LTPS AMOLED Jan-17 Aug-17 Mar-18 LGD SDC 자료 : IHS, NH 투자증권리서치센터전망 LGP Kumi AP3 LTPS (P6) 4 LTPS AMOLED Dec-15 Jul-16 Mar-17 5 LTPS AMOLED Jan-17 Aug-17 Feb-18 SD A3 3 LTPS AMOLED Oct-16 May-17 Sep-17 SD V1 1 LTPS/Oxide AMOLED Jul-17 Dec-17 Jun-18 77

78 원익 IPS TGS 와 원익머트리얼즈 원익 IPS 의 TGS 사업부는반도체제조공정중고순도특수가스및공정압력을안 전하게공급하는 Gas-Cabinet 장치의제조 / 판매하고있다. 이에따라기존 Fab 의 유지 / 보수, 라인신설등에따른매출이지속적으로발생하고있다. 원익 IPS 의 TGS 사업부는삼성전자의평택투자에따른수주모멘텀강화로 2016 년연결실적기준약 13% 의매출비중을차지할전망이다. 또한원익IPS가지분 46.7% 를보유하고있는주요연결자회사원익머트리얼즈는 2016년에사상최대실적을기록할것으로전망된다. 원익머트리얼즈는 2006년 12월주식회사아토의특수가스사업부문을물적분할하여설립되었으며, 100여종에이르는특수가스를반도체및디스플레이의증착, 식각, 세정, 열처리등의다양한공정에공급하고있어안정적실적이지속될것으로전망된다. 반도체공정의미세화, 3D NAND로의기술변화는물론 LCD 패널의대면적화와 OLED 관련소재매출확대등 IT 전반적으로관련소재수요가증가함에힘입어원익머트리얼즈의 2016년실적은매출 2,169억원 (+12.7% y-y), 영업이익 401억원 (+20.4% y-y) 기록하며모회사인원익IPS의실적에기여할것으로전망된다. 원익 IPS 의 TGS 관련설비 (1) 원익 IPS 의 TGS 관련설비 (2) 자료 : 원익 IPS, NH 투자증권리서치센터 자료 : 원익 IPS, NH 투자증권리서치센터 78

79 원익 IPS 2016 년 매출 6,817 억원 영업이익 1,086 억원 16년 1분기연결기준실적은매출액 1,348억원 (-14.2% y-y), 영업이익 228억원 (+63.8% y-y) 으로수주공백에따라외형성장축소되나기저효과에따른영업이익은전분기대비개선될전망이다. 2016년연간실적은매출액 6,817억원 (+5.3% y-y), 영업이익 1,086억원 (+9.4% y-y) 으로전년대비성장할것으로전망된다. 실적을이끄는주요키워드는 3D NAND와 OLED 확대이다 년 매출 7,838 억원 영업이익 1,236 억원 상, 하반기를구분하여살펴보면수주축소영향으로상반기까지의실적은다소저조하겠으나하반기에는점진적인실적회복세를나타낼전망이다. 이와같은기조는 2017년에도이어질것으로예상되는데, 2017년삼성전자의평택 Fab 완공후설비및장비관련투자가본격화되고산업전반에걸쳐 OLED 투자가활성화됨에따라 2017년에는매출액 7,838억원 (+15.0% y-y) 영업이익 1,236억원 (+13.8% y-y) 을기록할전망이다. 원익 IPS 사업부실적추이전망 1Q15 2Q15 3Q15 4Q15 1Q16E 2Q16F 3Q16F 4Q16F E 2017F 매출액 ( 연결 ) 매출액 ( 별도 ) 반도체 디스플레이 TGS Solar 원익머트리얼즈 영업이익 ( 연결 ) 영업이익률 18.7% 11.6% 19.3% 8.9% 16.9% 14.0% 16.5% 16.0% 15.3% 15.9% 15.8% 자료 : NH 투자증권리서치센터전망주 : TGS Total Gas Solution 79

80 원익 IPS 기업분할 기업분할 존속 : 원익홀딩스 신설 : 원익아이피에스 원익IPS는 15년 11월반도체 / 디스플레이 / 태양전지등장비사업부문원익아이피에스 ( 가칭 ) 를신설법인으로재상장하고, TGS사업부와투자사업부문원익홀딩스 ( 가칭 ) 를존속법인으로인적분할하는안을공시한바있다. 신설법인과존속법인의분할비율은각각 대 로, 16년 3월 30일부터 16년 4월 29일까지거래가일시정지되고신설법인의신주교부이후 16년 5월 2일신설법인이상장되어거래가재개된다. 이번분할목적은 TGS(Total Gas Solution) 사업부문과반도체 /Display/Solar 장비제조사업부문을분리함으로써사업부문별로필요한역량확보를위한집중투자를용이하게하고, 이를통해각부문별지속성장을위한전문성을확보하는데있다. 각사업부문별독립적인경영및객관적인평가를가능케함으로써책임경영체제를확립할계획이다. 원익IPS 분할일정 존속회사 ( 원익홀딩스 ) 신설회사 ( 원익아이피에스 ) 1. 사업영역 투자사업 /TGS사업부문 반도체 / 디스플레이 / 태양전지장비 2. 분할비율 자본금 ( 원 ) 19,613,595,000 20,636,718, 구주권제출기간 ~ 매매거래정지기간 ~ 신주권교부 신주의상장일 분할기일 자료 : NH 투자증권리서치센터 80

81 원익 IPS 인적분할발표당시 주가 14.9% 하락 원익IPS의인적분할발표시주가는 14.9% 하락마감하였다. 분할목적이명확하지않다는불확실성탓에투매가일어난것이다. 하지만이번분할결정으로원익 IPS의각사업부별경쟁력강화를통한기업가치제고가가능하고중장기적으로원익그룹의지배구조개선을통해선순환적인그룹경쟁력확대가가능하다는점에서원익IPS와원익그룹모두에게긍정적인영향을미칠것으로예상된다. 이번분할안에따르면존속법인인원익홀딩스는투자부동산과원익머트리얼즈, 테라세미콘, 원익앨앤디, 원익투자파트너스등대부분의투자지분을승계한다. 한가지주목해야할점은기존원익IPS가가지고있던자사주또한존속법인인원익홀딩스가승계한다는것이다. 이로써원익홀딩스는신설회사인원익아이피에스의지분 6.2% 를보유하게된다. 이는향후원익그룹이지주회사로의전환을준비하고있다는실마리를던져준다. 원익홀딩스중간지주 역할담당 즉원익IPS에서인적분할된신설법인과존속법인이같은지분율로원익그룹산하에상존하지만투자지분을모두승계받은존속회사인원익홀딩스가향후신설법인원익아이피에스의지분을추가확보함으로써중간지주역할을맡을것으로예상된다. 이를통해원익그룹은그룹내지배구조를단순화하면서보다효율적으로산하의계열회사들에게영향력을미칠수있을것으로판단된다. 원익아이피에스지분 지주회사를설립하려면우선적으로존속법인이신설법인의지분을보유하고있어야한다. 즉원익홀딩스의최대주주원익이보유하고있는신설법인원익아이피에스의주식을존속법인주식으로스왑하는과정을거쳐야하는데이는현물출자유상증자의방식을통해이루어진다. 이를감안하면향후매매거래정지기간이끝난이후존속법인원익홀딩스와신설법인원익아이피에스로각기나뉘어주식이거래될때신설법인의주가가존속법인의주가대비다소강세를띠는것이원익그룹에게는유리하다. 주가의향방도이원리에서크게벗어나지는않을것으로전망된다. 원익그룹지배구조 ( 분할전 ) 이용한 비상장사 상장사 100% 38.8% 40.5% 호라이즌캐피탈 6.90% 원익 원익 QnC 21.0% 73.5% 10.2% 29.7% 위닉스 원익IPS 시원종합개발 6.80% 5.5% 18.8% 78.4% 13.0% 46.5% 40.0% 7.6% 원익투자파트너스 테라세미콘 원익머트리얼즈 원익엘앤디 40.0% 50.7% 14.3% 노바켐 원익큐브 6.4% 자료 : NH 투자증권리서치센터 81

82 원익 IPS 원익그룹지배구조 ( 분할직후 ) 이용한 6.8% 비상장사 상장사 100% 38.8% 40.5% 호라이즌캐피탈 6.90% 원익 원익 QnC 5.5% 21.0% 73.5% 10.2% 10.2% 29.7% 위닉스 원익홀딩스 원익아이피에스 시원종합개발 6.80% 5.5% 6.2% 18.8% 78.4% 13.0% 46.5% 40.0% 7.6% 원익투자파트너스 테라세미콘 원익머트리얼즈 원익엘앤디 40.0% 50.7% 14.3% 노바켐 원익큐브 6.4% 자료 : NH 투자증권리서치센터 원익그룹지배구조 ( 향후전개예상도 ) 이용한 비상장사 상장사 100% 38.8% 40.5% 호라이즌캐피탈 6.90% 원익 원익 QnC 21.0% 73.5% 10.2%+@ 29.7% 위닉스 원익홀딩스 시원종합개발 6.80% 5.5% 18.8% 원익투자파트너스 78.4% 13.0% 6.2%+@% 46.5% 40.0% 테라세미콘 원익아이피에스 원익머트리얼즈 원익엘앤디 40.0% 50.7% 14.3% 노바켐 원익큐브 6.4% 자료 : NH 투자증권리서치센터 82

83 원익 IPS 분할후기업가치 산정 신설법인원익아이피에스의경우반도체 / 디스플레이장비등영업사업의실적을기반으로한성장이전망됨에따라실적을바탕으로한가치산정이적합하고, 지주기업의성격이강한원익홀딩스의경우장부가치를기준으로산정한기업가치가더적합하다고판단된다. 이에따라신설법인과존속법인의가치를산정해보면다음과같다. 신설법인 : 원익아이피에스 신설법인원익아이피에스는앞서언급했듯이 년반도체 / 디스플레이수주모멘텀을기반으로한실적성장이예상된다. 이를바탕으로신설법인원익아이피에스의주당기업가치 (NAV, Net Asset Value) 를산정해보면약 15,300원으로나타난다. 존속법인 : 원익홀딩스 존속법인원익홀딩스의경우안정적인실적기반을보유하고있고, 향후중간지주 지배구조전환에따라배당매력도가확대될전망이다. 장부가치기준원익홀딩스 의기업가치는 13,600 원으로산정된다. 분할후시가총액 총합은분할전대비 프리미엄 신설법인과존속법인의가치를기반으로한시가총액은각각 6,855억원, 5,645억원의총합 1조 2,499억원으로산출되며이는현재시가총액 8,936억원 (04월 28 일 ) 대비 39.9% 의프리미엄을지닌다. 분할로인한각법인의할인율을감안하더라도신설법인과존속법인의시가총액합 (1조 624억원 ) 은현재시가총액대비 18.9% 의프리미엄을지닌다. 이와같이원익IPS의인적분할건은원익그룹내중장기적경쟁력확보외각각의기업가치제고측면에서도긍정적인영향을미친다고판단된다. 원익 IPS 분할후기업가치산정 ( 단위 :%, 십억원, 백만주, 원 ) 존속회사 ( 원익홀딩스 ) 신설회사 ( 원익아이피에스 ) 사업영역투자사업 /TGS 사업부문반도체 / 디스플레이 / 태양전지장비 분할비율 EV A) 반도체 B) 디스플레이 C) 태양전지 0.0 BV D) TGS EV E) 원익머트리얼즈 F) 테라세미콘 29.2 BV G) 투자지분등기타자회사 50.8 H) 합계 (D+E+F+G) (A+B+C) I) 순부채 J) 시가총액 (H-I) K) 주식수 주당 NAV (J/K) 15,987 18,050 할인율 15%(TGS 사업부문을제외하면지주회사적인면모를갖춤에따라기존장비사업부문의실적성장성부재에따른할인 ) 15% ( 기존안정적인매출원인 TGS 사업부문과소재부문분리에따른할인 ) Final NAV 13,589 15,342 자료 : NH 투자증권리서치센터전망 83

84 원익 IPS STATEMENT OF COMPREHENSIVE INCOME Valuation / Profitability / Stability ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F 2015/12A 2016/12E 2017/12F 2018/12F 매출액 PER( 배 ) 증감률 (%) PBR( 배 ) 매출원가 PCR( 배 ) 매출총이익 PSR( 배 ) Gross 마진 (%) EV/EBITDA( 배 ) 판매비와일반관리비 EV/EBIT( 배 ) 영업이익 EPS( 원 ) ,105 증감률 (%) BPS( 원 ) 5,230 6,034 6,950 7,961 OP 마진 (%) SPS( 원 ) 8,042 8,469 9,736 11,089 EBITDA 자기자본이익률 (ROE, %) 영업외손익 총자산이익률 (ROA, %) 금융수익 ( 비용 ) 투하자본이익률 (ROIC, %) 기타영업외손익 배당수익률 (%) 종속, 관계기업관련손익 배당성향 (%) 세전계속사업이익 총현금배당금 ( 십억원 ) 법인세비용 보통주주당배당금 ( 원 ) 계속사업이익 순부채 ( 현금 )/ 자기자본 (%) 당기순이익 총부채 / 자기자본 (%) 증감률 (%) 이자발생부채 Net 마진 (%) 유동비율 (%) 지배주주지분순이익 총발행주식수 ( 백만주 ) 비지배주주지분순이익 액면가 ( 원 ) 기타포괄이익 주가 ( 원 ) 11,350 11,100 11,100 11,100 총포괄이익 시가총액 ( 십억원 ) STATEMENT OF FINANCIAL POSITION CASH FLOW STATEMENT ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F ( 십억원 ) 2015/12A 2016/12E 2017/12F 2018/12F 현금및현금성자산 영업활동현금흐름 매출채권 당기순이익 유동자산 유 / 무형자산상각비 유형자산 종속, 관계기업관련손익 투자자산 외화환산손실 ( 이익 ) 비유동자산 Gross Cash Flow 자산총계 운전자본의증가 ( 감소 ) 단기성부채 투자활동현금흐름 매입채무 유형자산감소 유동부채 유형자산증가 (CAPEX) 장기성부채 투자자산의매각 ( 취득 ) 장기충당부채 Free Cash Flow 비유동부채 Net Cash Flow 부채총계 재무활동현금흐름 자본금 자기자본증가 자본잉여금 부채증감 이익잉여금 현금의증가 비지배주주지분 기말현금및현금성자산 자본총계 기말순부채 ( 순현금 )

85 테스 ( KQ) 3D NAND ACL PECVD 수혜전망 Company Report D NAND Stack 수증가 (48 단 à 64 단 ) 및 3D NAND 투자본격화시 테스의 ACL PECVD 매출증가전망. 또한중국향 OLED 봉지장비매 출도발생하고있어동사수혜예상 3D NAND 단수증가로 ACL(Amorphous Carbon Layer) 증착증대 테스는 3D NAND 향 ACL 용 PECVD 장비업체로 3D NAND 가고단화 (48 단 à 64 단 ) 됨에따라 ACL 두께증가해 ACL PECVD 장비수혜전망 48 단에서 64 단으로고단화될경우 ACL 두께도 30% 증가하기때문에장비수요도 30% 증가전망 신규아이템인가스에칭수요도증가전망 테스의가스에칭장비는 Oxide 나 Niride 식각장비로 Dry Cleaning 콘셉트하반도체미세막질을가다듬는용도로사용 한편 OLED 봉지장비도중국향으로매출이발생하고있어디스플레이향으로도동사수혜예상 2016 년매출 1,200 억원, 영업이익 150 억원전망 2016 년테스매출은 1200 억원, 영업이익은 155 억원으로 2015 년대비매출 20%, 영업이익 58% 성장전망 또한 2017 년삼성전자평택라인완공시추가매출이예상되며, SK 하이닉스도 3D NAND 투자를진행하고있어관련수혜전망 테스의대표반도체장비 ACL PECVD Not Rated 현재가 ( 16/04/28) 13,800 원 업종 반도체 KOSPI / KOSDAQ 2, / 시가총액 ( 보통주 ) 145.5십억원 발행주식수 ( 보통주 ) 18.2백만주 52주최고가 ( 15/05/15) 14,200원 최저가 ( 15/11/25) 7,100원 평균거래대금 (60일) 2,992백만원 배당수익률 (2016E) 1.52% 외국인지분율 15.8% 주요주주 주숭일외 11인 31.5% 베어링자산운용 6.2% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 2017F 2018F 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS ,030 1,178 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치센터전망 Analyst 이세철 02) , peter.lee@nhwm.com Analyst 김대근 02) , d.kim@nhwm.com 자료 : 테스, NH 투자증권리서치센터

86 기업개요 년 9 월설립된동사는반도체제조에필요한전공정장비를생산하는장비제조업을주력으로영위하며, 그외에디 스플레이, LED, 태양전지장비제조업도함께영위하고있음. 이중반도체장비관련매출이전체매출의 90% 이상을차지. 특히, 2013 년반도체전공정장비인 LP CVD (Low Pressure Chemical Vapor Deposition) 와성능개선된 PE CVD 장비양산에성공하며주요고객사인삼성전자와 SK 하이닉스내에서장비점유율이점진적으로상승하고있음. 이외에메모리반도체업체들의미세공정전환노력이지속되면서 Etcher( 식각 ) 장비의수주또한점진적으로늘어나동사 의실적견조한성장세유지전망 제품별매출비중 2015 년기준동사의제품별매출비중은 PE CVD 73.7%, Etcher 4.5%, OLED 장비및기타 21.8% 임 Etcher 4.5% OLED & Others 21.8% PECVD 73.7% 자료 : 테스, NH 투자증권리서치센터 실적추이 ( 십억원 ) (%) 매출액 ( 좌 ) 영업이익 ( 좌 ) 2016년 DRAM 산업내공급과잉으로신규투자보 영업이익률 ( 우 ) 다는유지보수투자이어짐에도불구 3D NAND 시장확대및 OLED용봉지장비수주확대로실적성장확대전망 즉반도체부문에서는 3D NAND 시장이본격화됨에따라동사의주요제품인 ACL PECVD와 Gas Etcher의수주모멘텀이부 각되고디스플레이부문에서는 OLED 시장본격화에따라봉 5 20 지장비의실적기여도가확대될전망 0 0 '15 '16E '17F 자료 : NH투자증권리서치센터전망 과거주가및거래대금추이 ( 원 ) ( 백만원 ) 25,000 20,000 15,000 10,000 5,000 거래대금 ( 우 ) 수정주가 ( 좌 ) 전력반도체용 MOCVD 장비개발추진 0 0 '14.4 '14.6 '14.8 '14.10 '14.12 '15.2 '15.4 '15.6 '15.8 '15.10 '15.12 '16.2 '16.4 자료 : FnGuide, NH 투자증권리서치센터 전공정업체투자확대수혜기대감증폭 15 년하반기수주공백에따른주가조정 SK 하이닉스공정전환가속화 & OLED 본격화에따른장비수주확대기대감고조

87 피에스케이 ( KQ) 글로벌 3D NAND 투자수혜전망 피에스케이는반도체 Asher 장비부문전세계 1 위업체로 3D NAND 본격화시국내뿐아니라해외 NAND 업체에제품공급전망. 또한 Etch Back 기술을활용, Dry Etcher 개발예상 피에스케이는국내에서유일한 Asher 장비업체로글로벌 1 위임 피에스케이는포토공정이후 PR(Photo Resist) 잔여물을없애주는장비인 Asher 전세계 1 위업체임 향후모든 NAND 업체들이 3D NAND 진행시 Asher 매출확대전망. 경쟁사인 Mattson 의경쟁력이최근약해지고있어, 국내뿐아니라중국등해외매출확대전망 Dry Etch Back 장비도향후신규매출처로성장전망 피에스케이는또한 Dry Etch Back 장비를보유하고있으며해당매출확대전망. Etch Back 기술을활용하여 Dry Etch 장비개발능력도확보예상 3D NAND 가 48 단에서 64 단으로전환됨에따라동사제품매출도 30% 증가예상됨 2016 년매출 1,400 억원, 영업이익 180 억원전망 피에스케이 2016 년매출 1,400 억원, 영업이익 180 억원전망. 3D NAND 64 단진행시추가매출 Upside 예상 한편자회사인세미기어 (Wafer Level Reflow 업체 ) 는패키징고객증가로꾸준한매출 (200 억원대 ) 및영업이익률 20% 유지하고있어견조한이익시현예상 Not Rated 현재가 ( 16/04/28) 10,000 원 업종 반도체 KOSPI / KOSDAQ 2, / 시가총액 ( 보통주 ) 203.3십억원 발행주식수 ( 보통주 ) 20.3백만주 52주최고가 ( 15/06/23) 13,750원 최저가 ( 16/02/15) 7,790원 평균거래대금 (60일) 378백만원 배당수익률 (2016E) 2.00% 외국인지분율 3.6% 주요주주 금영 32.1% KB자산운용 21.2% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 2017F 2018F 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS ,211 1,298 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치센터전망 피에스케이의대표반도체장비 - Asher Analyst 이세철 02) , peter.lee@nhwm.com Analyst 김대근 02) , d.kim@nhwm.com 자료 : 피에스케이, NH 투자증권리서치센터

88 기업개요 피에스케이는 1990 년 6 월설립되어 1997 년 1 월코스닥시장에상장됨. 피에스케이는반도체전공정중포토공정이후 PR(Photo Resist, 감광액 ) 의잔여물을없애주는장비 Dry Strip(Asher), Dry Cleaning, Dry Etch Back 등을생산하고있음. 또한반도체후공정내패키징장비 Packaging Reflow 등을판매하고있음 피에스케이의주력장비인 Dry Stripper 의세계시장점유율은약 35% 로글로벌 1 위. 삼성전자외에도마이크론, 난야, TSMC 등의해외업체와도거래하면서고객군이다변화되어있음 제품별매출비중 2015 년기준피에스케이의매출은약 1,384 억원으로, 부문별 매출비중은반도체장비부문 77.1%, Parts 및유지보수 22.9% 등임 Parts /Service 22.9% 반도체 77.1% 자료 : 피에스케이, NH 투자증권리서치센터 영업실적추이및전망 메모리반도체업황부진에따른설비투자축소에도불구고부가가치제품판매확대로 2016년영업이익은전년대비 7.9% 늘어난 180억원기록할전망 ( 십억원 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) 주요고객사의 3D NAND 투자본격화가예상됨에따라 Dry Stripper, Dry Etch Back 장비수주확대로외형성장은물론수 익성제고이뤄낼전망 '15 '16E '17F 5 자료 : 피에스케이, NH 투자증권리서치센터전망 과거주가추이및주요이벤트 ( 원 ) ( 백만원 ) 15,000 거래대금 ( 우 ) 주가 ( 좌 ) 50 14,000 13,000 반도체후공정산업의투자축소로수주모멘텀약화. 이에주가하락세지속 40 12,000 11,000 10,000 3D NAND 시장본격화에따라수주기대감부각 ,000 8, ,000 0 '15.4 '15.5 '15.6 '15.7 '15.8 '15.9 '15.10 '15.11 '15.12 '16.1 '16.2 '16.3 '16.4 자료 : 피에스케이, NH 투자증권리서치센터 88

89 케이씨텍 ( KS) 3D NAND 향 CMP 및 Slurry 수혜전망 Company Report 케이씨텍은국내유일의 CMP 장비업체로 3D NAND 가향후 Cell on Peri 구조로진행될시 CMP 장비및 Slurry 매출확대로수혜전망 CMP 장비및 CMP Slurry 매출증가전망 케이씨텍은국내유일의 CMP(Chemical Mechnaical Polishing, 화학적ㆍ기계적연마 ) 장비업체이며경쟁사로는미국의 AMAT, 일본의 EBARA 가있음. 그중 AMAT 가전세계 M/S 80% 를차지하고있는상황임 케이씨텍은 Oxide Buffing 용 CMP 장비를공급하고있으며향후 Metal CMP 장비의국산화도진행예상. 향후 3D NAND 가 COP(Cell on Peri) 구조로진행될시 CMP 장비및 Slurry( 연마재료 ) 수요확대예상 전체매출의 20% 를차지하고있는 CMP Slurry 는 CMP 에사용되는소재로 Ceria(CeO2) 제품을공급하고있음 평택신규라인인프라구축시자회사매출확대예상 케이씨텍은자회사로클린룸및반도체인프라건설하는 KCEnC 와폐가스를중화시키는 Srubber 와 Gas Purifier 를공급하는 KPC 를보유하고있어평택라인인프라공사진행시자회사수혜예상 참고로 2015 년 KCEnC 는매출액 963 억원, 영업이익 68 억원을기록했으며 KPC 는매출액 303 억원, 영업이익 22 억원달성 2016 년연결기준매출액 4,820 억원영업이익 710 억원전망 케이씨텍 2016 년매출은 4,820 억원, 영업이익은 710 억원전망되며 3D NAND 투자본격화시추가 Upside 예상 Not Rated 현재가 ( 16/04/28) 16,400 원 업종 반도체 KOSPI / KOSDAQ 2, / 시가총액 ( 보통주 ) 547.7십억원 발행주식수 ( 보통주 ) 33.4백만주 52주최고가 ( 16/04/20) 16,450원 최저가 ( 15/11/11) 8,890원 평균거래대금 (60일) 2,849백만원 배당수익률 (2016E) 0.91% 외국인지분율 18.0% 주요주주 고석태외 3인 39.0% KB자산운용 10.3% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 2017F 2018F 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 1,284 1,917 2,215 2,027 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치센터전망 케이씨텍의대표반도체장비 CMP 장비 Analyst 이세철 02) , peter.lee@nhwm.com Analyst 김대근 02) , d.kim@nhwm.com 자료 : Dataguide Pro, NH 투자증권리서치센터

90 기업개요 - 케이씨텍은반도체장비, 소재및디스플레이장비, 재료사업등을영위할목적으로 1987 년 2 월설립되었으며 1997 년 11 월코스피시장에상장됨. 전자기기의소형화및고사양화에따라반도체칩의경박단소화필요성이증대되면서반도체표면의구조가점점더복잡해지고있음. 이에따라다층배선공정에있어배선단차를낮추는평탄화공정이중요시 되고있는데케이씨텍은국내에서는유일하게평탄화장비인 CMP(Chemical Mechanical Polishing) 를생산하고있음. 또한, CMP 연마공정에사용되는고체와액체의혼합물인 Slurry 소재또한공급중 제품별매출비중 2015 년기준동사의응용처별매출비중은반도체 51.7%, 디 스플레이 25.2%, 공사매출 20.1%, 기타 3.0% 임 공사매출 20.1% 기타 3.0% 디스플레이 25.2% 반도체 51.7% 자료 : 케이씨텍, NH 투자증권리서치센터 실적추이 국내에서유일한 CMP 장비업체로써전공정업계내장비국산화기조에힘입어꾸준한매출성장나타내고있음. 2016년메모리반도체산업은공급과잉우려로활발한설비투 ( 십억원 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) 자보다는유지보수적투자가이뤄질것으로예상됨. 그럼에도 불구하고 3D NAND 시장본격화에따른 CMP 및 CMP용 Slurry 소재수요확대로실적성장지속될전망 '15 '16E '17F 10 자료 : NH 투자증권리서치센터전망 과거주가및거래대금추이 ( 원 ) ( 백만원 ) 18,000 거래대금 ( 우 ) 주가 ( 좌 ) 주요고객사인삼성전자의기존라인유지보수수요및하반기본격화될것으로 50 16,000 전망되는평택인프라수혜기대감지속 14, ,000 10,000 8,000 6,000 4,000 2, '15.4 '15.5 '15.6 '15.7 '15.8 '15.9 '15.10 '15.11 '15.12 '16.1 '16.2 '16.3 '16.4 자료 : FnGuide, NH 투자증권리서치센터

91 원익머트리얼즈 ( KQ) 꾸준한실적성장 Company Report 원익머트리얼즈는증착, 식각, 세정, 열처리등반도체및디스플레이제 조공정에사용되는 100 여종의특수가스를공급하는업체. 반도체공정의 미세화, 3D NAND 본격화및 OLED 확대등에힘입어 2016 년사상최 대실적경신할전망 공정미세화 + 3D NAND 시장본격화에따른소재수요확대 2016 년반도체산업내에서는활발한설비투자를통한물량증대보다는원가개선이주요한요소로자리잡을전망. 즉 DRAM 시장에서는공정미세화가지속되고 NAND 시장에서는 Planar NAND 대비원가절감능력이우수한 3D NAND 가본격화될것으로예상 공정미세화및 3D NAND 의고단화는공정스텝수증가를수반. 이에따라원익머트리얼즈의 NO( 열처리 ), N2O( 증착 ), NH3( 증착 ), G3H4( 증착 ), SiH6( 확산및증착 ) 와같은 Gas 수요확대될전망 한편원익머트리얼즈는지난 14 년 9 월전구체 (Precursor) 의제조및판매를주된사업으로영위하고있는미국의노바켐지분 50.67% 를취득. 또한 15 년 8 월 279 억원을투자해전구체시장에진출한다는계획을발표하는등한층더성장할수있는실적기반마련할전망 OLED 시장확대가실적성장견인 애플의 OLED 패널적용, OLED TV 시장의침투율확대등 2016 년에는 OLED 패널시장의확대가전망됨. 이에따라 N2O, Laser Mix 등중소형은물론대형 OLED 패널제조에필요한원익머트리얼즈의디스플레이 Gas 수요확대전망 2016 년사상최대실적달성전망 2016 년원익머트리얼즈는반도체시장에서의지속적인미세공정전환, 3D NAND 본격화, OLED 패널확대등에힘입어 2015 년에이어사상최대실적기록할전망. 연간실적은매출 2,169 억원 (+12.7% y-y), 영업이익 401 억원 (+20.4% y-y) 예상 동사실적은기술변화에따른소재수요성장을기반으로안정적이고꾸준하게성장중. 또한건전한재무구조및동종업계평균대비낮은밸류에이션등이부각됨에따라동사에대한투자의견 Buy, 목표주가 90,000 원제시 Buy ( 유지 ) 목표주가 90,000원 ( 하향 ) 현재가 ( 16/04/28) 59,900원 업종 반도체 KOSPI / KOSDAQ 2, / 시가총액 ( 보통주 ) 370.9십억원 발행주식수 ( 보통주 ) 6.2백만주 52주최고가 ( 16/01/18) 84,000원 최저가 ( 15/05/07) 46,400원 평균거래대금 (60일) 1,408백만원 배당수익률 (2016E) 0.00% 외국인지분율 4.8% 주요주주 원익아이피에스 46.5% 국민연금 13.2% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 2017F 2018F 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 3,522 5,328 6,180 6,947 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치센터전망 Analyst 이세철 02) , peter.lee@nhwm.com Analyst 김대근 02) , d.kim@nhwm.com

92 기업개요 동사는 2006 년 12 월주식회사아토의특수가스사업부문을물적분할하여설립되었으며, 충청북도청원군에본점및공 장을두고반도체용특수가스및일반산업용가스의충전, 제조, 정제및판매등을영위하고있음. 한편, 14 년 9 월지분 50.67% 를취득하여주요종속회사로편입시킨노바켐은 2012 년 4 월 15 일 Prochem,Inc 로부터물적분할하여설립되 었으며, 미국 Edison Dr, Germantown,WI 에본점을두고있음. 반도체산업에쓰이는전구체의제조및판매를주된사업목적으로현재까지전구체중하나인 HCDS(Hexachlorodisilane) 의제조및판매에주력하고있음 제품별매출비중 동사는반도체및디스플레이내 LCD 패널의증착, 식각, 세정등의공정에쓰이는다양한특수가스 (NH3, NO, N2O, GeH4, Si2H6 등 ) 를판매하고있음. 2015년기준특수가스중응용처별매출비중은반도체 75.1%, 디스플레이 18.1%, LED 3.0%, 기타 3.8% 로추정 디스플레이 18.1% LED 3.0% 기타 3.8% 반도체 75.1% 자료 : 원익머트리얼즈, NH 투자증권리서치센터 영업실적추이 동사는 2014년 SK하이닉스로매출처를다각화하였고 2014년 9월노바켐인수를통해전구체사업에도진출함에따라실적개선되며꾸준한성장세나타내고있음 ( 십억원 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) 향후노바켐인수에따른실적성장반영됨은물론삼성전자의 DRAM/NAND Capa( 생산능력 ) 증대및이에따른소재소비량증가로수혜가능. 또한반도체의지속적인공정미세화및디스플레이산업내 OLED 가동률회복등에따라견조한실적유지할전망 '15 '16E '17F 자료 : 원익머트리얼즈, NH 투자증권리서치센터전망 과거주가추이및주요이벤트 ( 원 ) 반도체공정미세화지속및 3D 전방산업수요약세로 ( 백만원 ) 90,000 거래대금 ( 우 ) 주가 ( 좌 ) NAND 확대로반도체소재수요반도체업황부진우려확대증가기대감전구체시장진출위한 14 80,000 사업다각화위해미국전구체 279억원규모신규시설투자 12 70,000 제조판매업체노바캠지분 50.67% 취득 계획안발표 60, ,000 40,000 30,000 20,000 10,000 3 분기사상최대분기실적기록 0 0 '14.4 '14.7 '14.10 '15.1 '15.4 '15.7 '15.10 '16.1 ' 자료 : DataGuide, NH 투자증권리서치센터 92

93 원익머트리얼즈 원익머트리얼즈의제품군분류 고순도가스 (Pure Gas) 혼합가스 (Mixture Gas) GAS NAME PURITY GROUP GAS NAME BCl % (5N) 0.5% O2/He BF % (4N5) 0.52% N2/He C2H % (5N) 1.2% He/N2 C2HF % (4N) 20% O2/N2 Inert Gas Mix C3F6 99.9% (3N) 30% O2/He C3H % (3N7) 4% H2/He C4F % (4N), % (5N) 4% H2/N2 CF % (5N) 5% H2/He CH2F % (4N) 0.1% PH3/H2 CH % (4N5) 0.1% PH3/N2 CHF % (5N) 0.8% PH3/N2 Cl % (5N) 1% PH3/H2 CO % (5N) 1% PH3/He HCl % (5N) 1% PH3/N2 N % (6N) 10% PH3/N2 N2O % (5N5) 100ppmPH3/H2 PH3 Gas Mix Ne % (5N) 15% PH3/H2 NH % (5N5), % (7N) 20% PH3/H2 NO 99.95% (3N5) 3% PH3/N2 O % (5N) 4% PH3/He SF % (5N) 4.5% PH3/N2 Si2H % (4N8) 5% PH3/N2 Si3H % (4N) 500ppmPH3/H2 Si4H10 95% (1N5) 50ppmPH3/H2 SiCl % (4N) 0.1% BCl3/He TCS 99.95% (3N5) BCl3 Gas Mix 0.1% BCl3/N2 Xe % (5N) 1% BCl3/N2 Laser Gas Mix 0.4% Xe/Ne 1% F2/Ne 100ppmH2/Ne 4.5%HCl/0.9%H2/Ne 5%HCl/1%H2/94%Ne 자료 : 원익머트리얼즈, NH투자증권리서치센터 93

94 원익머트리얼즈 RIM 에의한목표주가 90,000 원제시 목표주가는 2016 년 PER 16.9 배에해당, 상승여력 50.8% 원익머트리얼즈에대해목표주가는기존 100,000원에서 90,000원으로하향하나, 투자의견은 Buy를유지한다. 목표주가 90,000원은 RIM을통해산출되었으며, 공정미세화및 3D NAND 제품본격화에따른반도체소재수요증가로이익견조세가예상되는 2016년실적기준 PBR 2.4배및 PER 16.9배에해당한다. 원익머트리얼즈잔여이익모델 (RIM) ( 단위 : 십억원, 원 ) 2016E 2017F 2018F 2019F 2020F 2021F 2022F 2023F 2024F 2025F 2026F 2027F Net profit Shareholder s equity ,148 Forecast ROE (FROE) 15.5% 15.4% 14.9% 14.6% 14.6% 14.8% 14.9% 15.1% 15.3% 15.6% 15.9% 16.3% Spread (FROE-COE) 5.8% 5.7% 5.2% 4.9% 4.9% 5.1% 5.2% 5.4% 5.6% 5.9% 6.2% 6.6% Residual income Cost of equity (COE) 9.7% Beta 0.8 Market risk premium (Rm-Rf) 7.0% Risk-free rate (Rf) 4.0% Beginning shareholder s equity 196 PV of forecast period RI 186 PV of continuing value 128 Equity value (C+P) 510 No of shares (common, mn) 6,191 12m TP Fair price (C) 90,314 Current price (C) 59,900 Upside (-downside) 50.8% Implied P/B (x) 2.7 Implied P/E (x) 16.1 주 : RIM(Residual Income Model, 잔여이익모델 ) 은현금흐름할인모형의하나로주주입장에서투자원금인자기자본과자기자본비용을초과하는이익 ( 잔여이익 ) 의현재가치를합한금액을적정주주가치 ( 자기자본가치 ) 로보는 Valuation 모델이다. 산식으로표현하면다음과같다. 자기자본가치 = 자기자본 + 미래잔여이익의현재가치합 * 잔여이익 (RIt) = 당기순이익 t - 자기자본 t-1 * 자기자본비용 (Cost of Equity)t = 자기자본 t-1 * (ROEt - COEt) RIM 은이론적으로배당할인모형 (DDM), 잉여현금흐름할인모형 (DCF) 과같은결과를도출하면서도측정지표상의주관성을최소화시킨객관적인 Valuation 모델이라고할수있어당사 Valuation 기본모델로사용하고있다. Market Risk Premium 적용기준 (Guidance) 구분 Mega Cap. Large Cap. Middle Cap. Small Cap. 기준 M-cap 10 조원이상 M-cap M-cap M-cap 2 천억 ~1 조원 +'BBB+' 이상 + 신용등급 'AAA' 이상 1 조 ~10 조원 + 'A0' 이상 2 천억원미만 +'BBB-' 이상 Risk Premium 5.2% 6.0% 7.0% 8.0% * Risk Free Rate = 4.0%( 공통 ) 94

95 원익머트리얼즈 수요처성장 (1) : 공정미세화 + 3D NAND 본격화 DRAM 의원가절감 키워드 : 공정미세화 2016년반도체산업내에서는활발한설비투자를통한물량증대보다는원가개선이주요한요소로자리잡을것으로전망된다. DRAM 시장은삼성전자, SK하이닉스, 그리고마이크론이과점한상황에서전방시장의수요둔화에따른수요성장모멘텀부재로공급과잉의모습을보이고있다. 특히비수기영향으로상반기의경우전체 DRAM 시장내공급과잉이두드러지고 있다. 1 분기 3.2%( 수요대비공급비율 ), 2 분기 2.8% 공급과잉의모습이나타날것 으로예상된다. 하반기에들어서면서이와같은공급과잉상황은완화될것으로예상된다. 3 분기 1.1%, 4 분기 0.9% 로공급균형이전망된다. 이에따라 DRAM 시장내주요제조 사들은물량싸움이아닌원가경쟁을통해수익성확보에나설전망이다. 삼성전자의경우 2Znm급 DRAM 생산비중이 70% 이상인데다 1Xnm급 DRAM까지양산을개시하는등원가절감을통한수익성확보에적극적인움직임을보이고있다. SK하이닉스또한 2Xnm에서 2Ynm로의공정전환을가속화하는등 DRAM 제조사들의공정미세화노력은지속되고있다. DRAM 시장수요 / 공급추이및전망 (1Gb eq., Mpcs) 24,000 공급수요 DRAM 수급 7% 20,000 5% 16,000 12,000 8, % 2.1% -2.5% -0.7% 0.9% 0.2% -1.0% 0.3% 3.2% 2.8% 1.1%0.9% 3% 1% -1% -3% 4,000-5% 0 1Q14 2Q14 3Q14 4Q14 1Q15E 2Q15F 3Q15F 4Q15F 1Q16E 2Q16F 3Q16F 4Q16F -7% 자료 : NH 투자증권리서치센터전망 95

96 원익머트리얼즈 단위공정미세화에 따르소재사용량 30% 증가 매단위공정이미세화될수록공정스텝이늘어남에따라반도체소재의사용량은약 30% 증가하게된다. 원익머트리얼즈는반도체열처리공정에사용되는 NO( 일산화질소 ), 증착공정에사용되는 N2O( 이산화질소 ), 절연막증착에사용되는 NH3( 암모니아 ) 등을주요제품으로보유하고있어소재수요확대에따른수혜가예상된다. 한편원익머트리얼즈는지난 2014 년 9 월전구체의제조및판매를주된사업으로 영위하고있는미국의노바켐지분 50.67% 를취득하여주요종속회사로편입시킨 바있다. 또한원익머트리얼즈는 2015 년 8 월 279 억원을들여 HCDS( 전구체, Precursor) 및 HCDS 합성기술을활용한 Si 계열의전구체국산화를추진한다는계획을발표하는 등전구체관련신규시장진출을통해실적성장지속이뤄나갈것으로예상된다. 원익머트리얼즈 DRAM 향주요제품현황 NO ( 일산화질소 ) - 반도체제조공정중열처리공정에사용되는가스 N2O ( 이산화질소 ) - 반도체, LCD, AMOLED 제조공정중증착공정에사용되는가스 NH3 ( 암모니아 ) - 반도체, LCD, 제조공정에사용되는가스 - 모노실란 (SiH4) 과결합하여실리콘나이트라이드 (Si3N4) 의절연막을증착시키는데사용됨 자료 : 원익머트리얼즈, NH 투자증권리서치센터 원익머트리얼즈가 15 년 8 월공시한신규시설투자계획 투자금액 279 억원 자기자본대비 (%) 14.4 투자목적 1) 지속성장가능한신규사업포트폴리오필요 2) 반도체기술변화및고객사신규라인증설에따른전구체수요증가대응 3) HCDS 및 HCDS 합성기술활용 Si Base 전구체국산화추진 4) 고객사요구대응가능한신규소재개발능력확대 투자기간 ~ 자료 : 원익머트리얼즈, NH 투자증권리서치센터 96

97 원익머트리얼즈 NAND 의원가절감 키워드 : 3D NAND NAND 시장에서원가절감의키워드는 3D NAND이다. 3D NAND는 Planar NAND와는다른개념으로, 3차원수직구조로회로를쌓아올려집적도를높인플래시메모리기술이다. 3D NAND의등장은기존의같은면적에얼마나많은소자를집적하느냐의경쟁을얼마나높이 3차원수직구조로회로를쌓아올리느냐의경쟁으로바꿔놓았다. 48 단 3D NAND 가 16nm Planar NAND 보다원가우위 3D NAND는기술상 48단부터 16nm급의 Planar NAND보다원가우위에있게된다. 이론상으로는 32단 3D NAND와 16nm급 2D NAND의원가가유사하나 3D NAND가신규라인에서생산되는만큼단위고정비증가로초기원가부담이있는상황이다. 하지만 48단에 TLC(Triple Level Cell) 기술까지적용할경우 16nm보다원가경쟁력을갖추게된다. 3D NAND vs. 2D NAND 집적도 자료 : 언론, NH 투자증권리서치센터 3D NAND vs. 2D NAND 원가비교 자료 : 언론, NH 투자증권리서치센터 97

98 원익머트리얼즈 3D NAND 시장본격화 2016 년에는이러한원가경쟁력을바탕삼아삼성전자를중심으로샌디스크, 도시 바, 마이크론, SK 하이닉스등주요반도체업체들이 3D NAND 제품을출시함으로 써관련시장이본격화될전망이다. 하반기삼성전자 64 단 3D NAND 양산전망 3D NAND 시장의중심에서있는삼성전자는 2016년 48단 3D NAND 양산을본격화하고연말에는 64단 3D NAND 양산을진행할것으로예상된다. SK하이닉스의경우 36단 3D NAND 양산중이며 48단의경우 4분기내양산을진행할전망이다. 마이크론-인텔, 도시바-샌디스크또한 32단 3D NAND 제품화를준비중인가운데 3D NAND 경쟁력제고를위해 48단개발에주력할것으로예상된다. 일반적으로 32단 -> 48단 -> 64단등고단으로 3D NAND 공정전환이진행될경우공정스텝도각단계별로 20% 씩증가하여전체공정에필요한소재수요는 Planar NAND 대비 3~ 4배증가하게된다. 이에따라원익머트리얼즈의 3D NAND향 GeH4( 사수소화게르마늄 ), SiH6( 다이실란 ), NO( 산화질소 ) 와각종에칭가스의수혜가전망된다. NAND 시장내주요제조사의 3D NAND 로드맵 nm 19nm 16nm 13nm 3D 24L MLC 32L MLC, TLC 48L TLC 64L TLC 72L TLC 20nm 19nm A-19nm 15nm 14nm 3D 32L M/TLC 48L MLC TLC 20nm 19nm 16nm 12nm 3D 32L M/TL 48L TLC 25nm 20nm 16nm 12nm 3D 36L MLC 48L TLC 자료 : NH 투자증권리서치센터전망 원익머트리얼즈 NAND 향주요제품현황 GeH4 ( 사수소화게르마늄 ) SiH6 ( 다이실란 ) NO ( 산화질소 ) - 반도체, LCD 의실리콘게르마늄 (SiGe) 막형성용가스 - 증착된막질은실리콘 (Si) 단독으로사용한것과유사한구조와성능을가지고있으나, 전기전도도측면및불순물확산방지측면에서탁원한특성을나타냄 - 반도체제조공정중 Diffusion( 확산 ) 및 CVD 공정에사용되는가스 - 모노실란 (SiH4) 가스대비반응온도, 증착속도, 접촉면의거칠기등에서탁월한성능을보이는가스 - 반도체제조공정중열처리공정에사용되는가스 - 반도체제조공정중열처리공정을통해실리콘옥시나이트라이드 (SiON) 를형성하는데사용되는가스 자료 : 원익머트리얼즈, NH 투자증권리서치센터 98

반도체산업 3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업

반도체산업 3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업 3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업체 à 6 개업체 ) NAND 산업은현재 2D NAND 중심이나 SSD 수요증가및원가하락이진행되면서 3D

More information

SSD, TCO(Total Cost of Ownership) 관점 수요 확대 동인 확보 TCO(Total Cost of Ownership) 관점 수요 증가 동인 확대 3D NAND의 응용처는 향후 PC에서 엔터프라이즈로 확대될 것으로 판단된다. 엔터 프라이즈 시장에서는

SSD, TCO(Total Cost of Ownership) 관점 수요 확대 동인 확보 TCO(Total Cost of Ownership) 관점 수요 증가 동인 확대 3D NAND의 응용처는 향후 PC에서 엔터프라이즈로 확대될 것으로 판단된다. 엔터 프라이즈 시장에서는 엔터프라이즈향 SSD 수요 본격화 Industry Note 216. 4. 2 그 동안 엔터프라이즈 시장에서는 SSD 채용에 보수적이었지만 SSD의 TCO 경쟁력이 확보되면서 엔터프라이즈향 SSD 수요 본격화 진행 중. SSD내 엔터프라이즈향 수요 비중은 14년 17%에서 16년 31%로 상승 예상. 삼성전자 긍정적, 3D NAND 인프라 업체 및 장비업체 수혜

More information

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63>

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 06. 11 반도체 Overweight 공정변화 4: CMP(Chemical Mechanical Polishing) Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy,

More information

SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2016F 2017F 매출액 - 수정후 17,126 18,850 19,642 20,467 - 수정전 18,926 19,977 21,095 - 변동률

SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2016F 2017F 매출액 - 수정후 17,126 18,850 19,642 20,467 - 수정전 18,926 19,977 21,095 - 변동률 (000660.KS) Company Comment 2015. 6. 11 마이크론 LPDDR4 품질이슈수혜전망 SK 하이닉스는 PC 수요약세에도불구 2 분기 1.4 조원의양호한영업이익시현전망. 15 년하반기에는마이크론의 20nm 급 LPDDR4 품질문제로애플아이폰 6S 에 SK 하이닉스의모바일 DRAM 이주력으로공급될전망이어서하반기실적확대예상됨 '15 년 2

More information

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx) 산업분석 반도체/디스플레이 이베스트투자증권 어규진입니다. 작년부터 반도체/디스플레이 업황이 뜨겁습니다. Gate 가 부족하기 때문이죠. 반도체와 디스플레이의 수급이 타이트하다는 의미입니다. 과거 반도체/디스플레이 1 차 업황호조가 공격적인 투자집행에 따른 대규모 라인증설 때문이었다면, 금번 2 차 업황호조는 대규모 투자에 따른 과다경쟁 없이도 공정의 미세화,

More information

<4D6963726F736F667420576F7264202D20C0CEB5AABDBA5FB9DDB5B5C3BC20B0F8C1A4BAAFC8AD726576C3D6C1BE5F65646974696E675F662E646F63>

<4D6963726F736F667420576F7264202D20C0CEB5AABDBA5FB9DDB5B5C3BC20B0F8C1A4BAAFC8AD726576C3D6C1BE5F65646974696E675F662E646F63> 삼성전자(005930) Buy (유지) TP 1,970,000원 3D NAND와 FinFET으로 공정한계 극복 투자의견 Buy, 목표주가 1,970,000원 유지 삼성전자 투자의견 Buy, 목표주가 197만원 유지. 1) 3D NAND 및 FinFET공정 조기 도입으로 반도체 기술력 확대가 예상되고, 2) 시스 템 반도체 및 메모리 핵심 부품 최적화로 세트와

More information

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환) KRP Report (3회차) GOLDEN BRIDGE Research - 스몰켑 - Not Rated 테스 (095610) 공정미세화 추세의 수혜, 태양광 장비의 매출 가시화로 견조한 성장 작성일: 2009.11.18 발간일: 2009.11.19 3Q 실적 동사의 3분에 매출과 영업이익은 각각 141.5 억원(QoQ 142%), 6 억원(흑전)이다. 목표가

More information

NAND 수요전망 217 년 NAND 수요는 SSD 가견인할전망 217년 NAND 산업방향성은 3D NAND SSD이다. 수요측면부터살펴보면 217 년주요응용처인 SSD는 21% 성장예상된다. 한편 SD Card 등 Flash Card는수요성장률 로소폭성장할전망이다.

NAND 수요전망 217 년 NAND 수요는 SSD 가견인할전망 217년 NAND 산업방향성은 3D NAND SSD이다. 수요측면부터살펴보면 217 년주요응용처인 SSD는 21% 성장예상된다. 한편 SD Card 등 Flash Card는수요성장률 로소폭성장할전망이다. 217 년 NAND 수급전망 Industry Note 216. 9. 26 217 년 NAND 수급으로연간 2.5% 공급부족이전망되며, 상반기 1% 대공급부족, 하반기 3~ 대공급부족전망. 217 년 3D NAND 수율 문제로전반적인공급부족이예상됨 217 년 NAND 수요는 4.5% 성장전망. SSD 가수요견인 217 년 NAND 산업방향성은 3D NAND SSD

More information

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final Apr 4, 216 Part 1 #1 반도체산업 IT Convergence vs Divergence [반도체] 최도연 3771-977 doyeon@iprovest.com [IDEA] IT Divergence 시대에서의 반도체 산업 전망 [업황] 메모리 반도체는 공급 초과. 비메모리는 재고 상당량 해소 [섹터뷰] 향후 반도체 수요 방향성 - DRAM

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

Microsoft Word - _최종_ _최종_ SSD, 캐즘을 넘어서다!_rev14_EDITING_F.doc

Microsoft Word - _최종_ _최종_ SSD, 캐즘을 넘어서다!_rev14_EDITING_F.doc 2013년 반도체 산업 전망 Overweight 반도체 2012. 11. 14 Analyst 이세철 02-6309-4523 seicheol.lee@meritz.co.kr R.A. 조영호 02-6309-2963 youngho.jo@meritz.co.kr Top picks 삼성전자(005930) Buy, TP 1,800,000원 SK하이닉스(000660) Buy,

More information

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원 반도체산업 3D NAND 투자가앞당겨진다 216. 4. 1 Analyst 박유악 (698-6688) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 실리콘웍스 STRONG BUY TP 5, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 디엔에프 BUY

More information

Company report focus 리포트 작성 목적 합병법인에 대한 투자의견과 목표주가 제시 Cash cow 제품, 턴어라운드 제품, 미래 성장스토리 제품, 시너지효 과 등을 분석 대표적 소재/에너지 기업으로 도약함에 따라 글로벌 경쟁업체들과의 valuation 비

Company report focus 리포트 작성 목적 합병법인에 대한 투자의견과 목표주가 제시 Cash cow 제품, 턴어라운드 제품, 미래 성장스토리 제품, 시너지효 과 등을 분석 대표적 소재/에너지 기업으로 도약함에 따라 글로벌 경쟁업체들과의 valuation 비 기업분석 In-depth / 전자부품 2014. 7. 15 매수(유지) 목표주가: 200,000원(유지) Stock Data KOSPI(7/14) 1,994 주가(7/14) 172,000 시가총액(십억원) 11,828 발행주식수(백만) 69 52주 최고/최저가(원) 198,500/139,000 일평균거래대금(6개월, 백만원) 46,737 유동주식비율/외국인지분율(%)

More information

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L Sector update Korea / Semiconductors 25 February 2016 NEUTRAL Stocks under coverage Company Rating Price Target price 삼성전자 (005930 KS) BUY 1,172,000 1,550,000 SK 하이닉스 (000660 KS) BUY 30,900 37,000 이오테크닉스

More information

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3 반도체산업 삼성전자, Foundry 부문성장지속 216. 3. 1 Analyst 박유악 (698-6688) Overweight 관련종목 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 리노공업 BUY TP 57, 원 Investment Summary - 16 년삼성전자

More information

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc SK하이닉스 (66) 212. 9. 19 기업분석 Analyst 안성호 2. 3772-7475 shan@hanwha.com RA. 고정우 2. 3772-7466 jungwoo.ko@hanwha.com Buy(maintain) 목표주가: 31,원(유지) 주가(9/18): 22,85원 Stock Data KOSPI(9/18) 2,4.96pt 시가총액 158,67억원

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

Microsoft Word - 20160525172217103.doc

Microsoft Word - 20160525172217103.doc 216년 5월 26일 산업분석 디스플레이 Overweight (유지) 실적 턴어라운드 기대 LCD 구조조정, 하반기 수급 및 가격에 긍정적 디스플레이,가전,휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 하반기 글로벌 디스플레이 산업은 한국 패널업체의 LCD

More information

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY In-Depth (Overweight) SSD = (3D - NAND) Sep. 2013 9 Analyst 2184-2392 sh.jin@ktb.co.kr R.A. 2184-2334 jmlee1st@ktb.co.kr Issue Pitch Coverage opinion Top-picks Rationale CAGR +19%, 2X Density 2X Write

More information

Microsoft Word - 20141214174232730.doc

Microsoft Word - 20141214174232730.doc 214년 12월 15일 산업분석 디스플레이 Overweight (유지) 모바일 스펙 경쟁 지속 전망 전략 스마트 폰 조기출시 예상 디스플레이 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 임민규 2-6114-2953 minkyu.lim@hdsrc.com 리서치센터 트위터 @QnA_Research 주요 부품업체에 따르면 내년

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

Microsoft Word - Kumho_Petrochemical_Comment_110524.doc

Microsoft Word - Kumho_Petrochemical_Comment_110524.doc LIG Research Center Company Analysis 211/5/24 Analyst 김영진/주혜미ㆍ2)6923-7318/7345ㆍyjkim/hmjoo@ligstock.com 금호석유화학 (1178KS Buy 유지 TP 272,원 상향) 합성고무 및 BPA 증설을 통한 성장 및 수익 전략 -, 수익예상 상향에 따라 272,원으로 상향 조정하며, 투자의견

More information

Microsoft Word - Handset component_120626 _K__comp.doc

Microsoft Word - Handset component_120626 _K__comp.doc ` 휴대폰부품 산업분석 Report / 휴대폰 212. 6. 26 비중확대(유지) 종목 투자의견 목표주가 대덕GDS(413) 매수 21,원(신규) 대덕전자(86) 매수 16,원 일진디스플레이(276) 매수 23,원(상향) 파트론(917) 매수 17,원(상향) 휴대폰 부품주를 반드시 사야 하는 3가지 이유 살아남은 자들의 축제 비중확대 의견 유지 휴대폰 부품

More information

Microsoft Word - 9000014297.doc

Microsoft Word - 9000014297.doc Report 기업분석 LG생명과학 (068870.KS) 2006년 9월 6일 Buy (유지) 목표주가 54,000원 (상향) Analyst 권해순 02)768-7977, haesoon.kwon@wooriwm.com 황호성 02)768-7597, hosung.hwang@wooriwm.com 이지현 02)768-7617, salome.lee@wooriwm.com

More information

반도체산업 Industry Comment 월이후 PC DRAM 가격약세둔화전망 1 월 PC DRAM 고정가는 29.5 달러로당사예상수준인 6% 대가격하락기록. 15 년 1 분기계절적비수기임을감안시가격하락은불가피하나 2 월이후가격하락폭둔화전망. 제

반도체산업 Industry Comment 월이후 PC DRAM 가격약세둔화전망 1 월 PC DRAM 고정가는 29.5 달러로당사예상수준인 6% 대가격하락기록. 15 년 1 분기계절적비수기임을감안시가격하락은불가피하나 2 월이후가격하락폭둔화전망. 제 Industry Comment 215. 2. 2 2 월이후 PC DRAM 가격약세둔화전망 1 월 PC DRAM 고정가는 29.5 달러로당사예상수준인 6% 대가격하락기록. 15 년 1 분기계절적비수기임을감안시가격하락은불가피하나 2 월이후가격하락폭둔화전망. 제품별로는 PC DRAM 약세, 모바일 DRAM 강세전망 PC DRAM 1 월하반월고정가는전월대비 5.6%

More information

<4D F736F F D20B9DAC0AFBEC75FB9DDB5B5C3BCBCF6B1DE5F E646F63>

<4D F736F F D20B9DAC0AFBEC75FB9DDB5B5C3BCBCF6B1DE5F E646F63> Analyst 박유악 (6309-4523) Yuak.Pak@meritz.co.kr 2014. 3. 28 반도체산업 Overweight 삼성전자, Mobile DRAM 점유율확대전망 삼성전자 (005930) Buy, TP 1,700,000 원 DRAM Supply/Demand - 2014년공급과잉률 +3.2% 전망 - 2H14 삼성전자의 Mobile DRAM

More information

펄어비스 ( KQ) 이제성장의초입국면 Company Report 검은사막모바일로성공적인한해를보냈던펄어비스는 2019 년검은사막 모바일의일본, 북미, 유럽출시 cycle 을마무리하려함. 이에더해하반 기중신작 3 종출시가예정되어있어 2019

펄어비스 ( KQ) 이제성장의초입국면 Company Report 검은사막모바일로성공적인한해를보냈던펄어비스는 2019 년검은사막 모바일의일본, 북미, 유럽출시 cycle 을마무리하려함. 이에더해하반 기중신작 3 종출시가예정되어있어 2019 (263750.KQ) 이제성장의초입국면 Company Report 2018. 11. 5 검은사막모바일로성공적인한해를보냈던는 2019 년검은사막 모바일의일본, 북미, 유럽출시 cycle 을마무리하려함. 이에더해하반 기중신작 3 종출시가예정되어있어 2019 년에도동사는 2018 년못지 않게성장할것 검은사막은끝나지않았고, 신작은또나온다 PC 검은사막의성공이후, 2018

More information

Microsoft Word - 111130_삼성SDI

Microsoft Word - 111130_삼성SDI 삼성SDI 삼성SDI (64) BUY (Maintain) 주가(11/29) 131,5원 목표주가 19,원 211.11.3 울트라북, 2차전지 시장지형을 바꾼다 지금까지의 통념을 깨고 성능이 뛰어나면서도 싸고 가벼운 노트북이 등장했다. 울트라북이다. 울트라북은 모바일 인터넷 시대에 대응한 노트북의 진화이며, 215년에 전체 노트북의 45%를 차지하며 급성 장할

More information

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 2016. 8. 1 7 월 PC DRAM 고정가는 4GB DDR3 모듈기준 13.25 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼성전자, SK 하이닉스긍정적 7 월 PC DRAM 고정가는현물가상승영향으로전월대비상승 7 월 PC DRAM

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

, Analyst, 3774 3803, 3774 1851, Table of contents 2

, Analyst, 3774 3803, 3774 1851, Table of contents 2 Sector update Korea / IT 9 September 2016 OVERWEIGHT Stocks under coverage Company Rating Price Target price, Analyst 3774 3803 hwdoh@miraeasset.com 3774 1851 sol.hong@miraeasset.com See the last page

More information

Microsoft Word _3

Microsoft Word _3 Sector Report 2016.11.02 Mobile DRAM 모멘텀은지속된다! What s new? Our view (OVERWEIGHT OVERWEIGHT) 종목 투자의견 목표주가 ( 원 ) 삼성전자 BUY (M) 2,200,000 (M) SK 하이닉스 BUY (M) 57,000 (U) 10/31 일시장조사기관인 Inspectrum 에서발표된 DDR4

More information

1. RIM 에의한목표주가 1,700,000 원 목표주가는 2016 년 PER 12.1 배에해당, 상승여력 24.2% 삼성전자에대해목표주가 1,700,000 원및투자의견 Buy를유지한다. ( 현주가대비 24% 의상승여력보유 ). 목표주가 1,700,000 원은 RIM을

1. RIM 에의한목표주가 1,700,000 원 목표주가는 2016 년 PER 12.1 배에해당, 상승여력 24.2% 삼성전자에대해목표주가 1,700,000 원및투자의견 Buy를유지한다. ( 현주가대비 24% 의상승여력보유 ). 목표주가 1,700,000 원은 RIM을 (005930.KS) Company Report 2015. 11. 3 인공지능로봇의두뇌를만드는회사 삼성전자는인간의두뇌에해당하는모든프로세서를만드는회사임. 전세계유일하 게 DRAM, NAND 와같은반도체메모리와연산제품인 AP(Application Processor) 기술을보유하고있음. 향후인공지능과로봇시대에연산과기억을담당하는회사로거듭날전망 인공지능로봇의두뇌연산

More information

Microsoft Word - 130318 핸드폰부품 Galaxy S4_교정_.doc

Microsoft Word - 130318 핸드폰부품 Galaxy S4_교정_.doc 핸드폰/부품 Galaxy 시리즈 결정판 S4 효과를 기대한다 핸드폰 Galaxy S4는 Galaxy 시리즈 중 최대 효과일 것 Galaxy S3 이후 출시되는 Galaxy S4에 대한 기대도 높다. 현재로서는 Galaxy S3보다 판매량이 큰 폭으로 증가할 것으로 예상하는데, 이는 211년에 스마트폰을 구매한 교체수요가 Galaxy S3 출시 시점보다 많고,

More information

반도체 / 장비 DRAM 가격추이 NAND 가격추이 (US$) DDR4 4Gb 2400Mhz Spot 2.7 DDR4 4Gb SO-DIMM 2133MHzContract '16.1 '16.2 '16.3 '16.4 '16.

반도체 / 장비 DRAM 가격추이 NAND 가격추이 (US$) DDR4 4Gb 2400Mhz Spot 2.7 DDR4 4Gb SO-DIMM 2133MHzContract '16.1 '16.2 '16.3 '16.4 '16. Sector Report 2016.11.02 반도체 / 장비 Mobile DRAM 모멘텀은지속된다! What s new? Our view 반도체 / 장비 (OVERWEIGHT) 종목 투자의견 목표주가 ( 원 ) 삼성전자 BUY (M) 2,200,000 (M) SK 하이닉스 BUY (M) 57,000 (U) 10/31 일시장조사기관인 Inspectrum 에서발표된

More information

삼성테크윈 ( KS) Company Analysis Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02) , youn

삼성테크윈 ( KS) Company Analysis Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02) , youn (012450.KS) Company Analysis 2013. 1. 31 Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02)768-7585, young.park@wooriwm.com 2013 년보다는 2014 년실적이기대되는회사 투자의견 Hold 및목표주가

More information

엔씨소프트 ( KS) Company Analysis Buy ( 유지 ) 목표주가 290,000 원 ( 하향 ) 현재가 ( 12/11/07) Analyst 213,000 원 정재우 02) ,

엔씨소프트 ( KS) Company Analysis Buy ( 유지 ) 목표주가 290,000 원 ( 하향 ) 현재가 ( 12/11/07) Analyst 213,000 원 정재우 02) , (036570.KS) Company Analysis 2012. 11. 8 Buy ( 유지 ) 목표주가 290,000 원 ( 하향 ) 현재가 ( 12/11/07) Analyst 213,000 원 정재우 02)768-7647, joseph.chung@wooriwm.com 박혜수 02)768-7721, regina.park@wooriwm.com 4 분기실적과불확실성해소가

More information

Microsoft Word - 산업분석리포트2008110717020200.doc

Microsoft Word - 산업분석리포트2008110717020200.doc 산업분석리포트 28.11.1 넷북 - PC 산업의 새로운 트렌드 Analyst 김현중 377-3562 guswnd@myasset.com 새로운 고객 세그먼트의 확대로 29년 본격적인 시장 성장 예상 넷북이란 인텔에서 제안한 저가형 서브 PC 의 개념. 작고, 가볍고, 저전력이며 인터넷, 워드프로 세서와 같은 기본적인 프로그램만을 가동시키는데 최적화된 PC 를

More information

Industry Comment 반도체산업 Positive ( 유지 ) Top Picks 삼성전자 Buy ( 유지 ) 1,500,000 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63,000 원 ( 유지 ) Sector Index

Industry Comment 반도체산업 Positive ( 유지 ) Top Picks 삼성전자 Buy ( 유지 ) 1,500,000 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63,000 원 ( 유지 ) Sector Index 214. 12. 8 Industry Comment Positive ( 유지 ) Top Picks 삼성전자 Buy ( 유지 ) 1,5, 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63, 원 ( 유지 ) Sector Index 12 11 1 9 '13.12 '14.3 '14.6 '14.9 업종시가총액 주 : KRX 업종분류기준 PER( 배 ) PBR( 배

More information

Microsoft Word - 0900be5c8034af84.docx

Microsoft Word - 0900be5c8034af84.docx 213. 11. 21 Sector Update 핸드셋 (NEUTRAL) 해 뜰 때까지 체력전 WHAT S THE STORY? Event: 214년 핸드셋(태블릿) 전망과 투자 전략을 새로이 제시한다. Team Analyst 조성은 (핸드셋, 디스플레이) sharrison.jo@samsung.com 2 22 7761 이종욱 (IT부품, LED) jwstar.lee@samsung.com

More information

표 1. 삼성전기실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 매출액 - 수정후 6, , , , 수정전 - - 6, , 변동률

표 1. 삼성전기실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 매출액 - 수정후 6, , , , 수정전 - - 6, , 변동률 (009150.KS) 갤럭시 S8 출시로실적개선전망 삼성전기는 2017 년상반기갤럭시 S8 출시로 2017 년 1 분기실적회복 예상. 또한듀얼렌즈카메라와전장사업본격화가전망됨에따라목표주 가를 6.5 만원에서 8 만원으로상향 2017 년 1 분기전사업부실적개선으로흑자전환전망 2017 년 1 분기매출 1,432 억원, 영업이익 303 억원으로전분기대비흑자전환전망

More information

NH투자증권 f

NH투자증권 f (3657.KS) 의모바일, 그두번째이야기 Company Report 219. 5. 21 219 년최대기대작리니지 2M 과블레이드 & 소울 S 가드디어출시될것. 리니지 M 이여전히견조한매출을유지하고있는가운데, 신작출시이후 큰폭의매출성장기대 리니지 2M 과블레이드 & 소울 S 로두번째모바일게임 Stage 진입 에대한게임업종 Top-pick 추천. 투자의견 Buy를유지하며,

More information

투자의견 Buy, 목표주가 16, 원으로커버리지개시 목표주가는 216 년 PER 1 배에해당, 상승여력 3% 크루셜텍에대해투자의견 Buy, 목표주가 16,원을제시하며커버리지를개시한다. 목표주가는 216년 Target PER 1배수준으로현주가대비 3% 의상승여력을보유하고

투자의견 Buy, 목표주가 16, 원으로커버리지개시 목표주가는 216 년 PER 1 배에해당, 상승여력 3% 크루셜텍에대해투자의견 Buy, 목표주가 16,원을제시하며커버리지를개시한다. 목표주가는 216년 Target PER 1배수준으로현주가대비 3% 의상승여력을보유하고 (11412.KQ) 지문인식으로중국정복 Company Report 216. 2. 17 크루셜텍은지문인식모듈을주로생산하는업체. 동사는중화권스마트 폰업체들을주요고객사로보유하고있음. 중국을포함한중저가스마트 폰시장의지문인식침투율이상승할전망임에따라실적성장이기대됨 지문인식침투율상승으로 4 분기도성장 크루셜텍의 4 분기실적은매출액 1,17 억원 (+6.9% q-q, +1.2%

More information

Company Comment SK 하이닉스 ( KS) Buy ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 14/08/06) 업종 Price Trend SK 하이닉스 KOSPI 45,400

Company Comment SK 하이닉스 ( KS) Buy ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 14/08/06) 업종 Price Trend SK 하이닉스 KOSPI 45,400 214. 8. 7 Company Comment (66.KS) Buy ( 유지 ) 목표주가 63, 원 ( 유지 ) 현재가 ( 14/8/6) 업종 Price Trend 19 17 15 13 11 KOSPI 45,4 원 반도체 KOSPI 2,6.73 KOSDAQ 548.43 시가총액 ( 보통주 ) 32,792.6십억원 발행주식수 ( 보통주 ) 718.9백만주 52주최고가

More information

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63>

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 04. 15 반도체 Overweight 4 월상반월 DRAM 고정가 8.5% 상승 Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy, TP 36,000 원 결론 - PC

More information

LG 디스플레이 4 분기실적 Preview ( 단위 : 십억원, %) 4Q15 1Q16 2Q16 3Q16 4Q16E 예상치 y-y q-q 기존추정 Consen 1Q17F 매출액 7,496 5,989 5,855 6,724 7, ,293 7,405

LG 디스플레이 4 분기실적 Preview ( 단위 : 십억원, %) 4Q15 1Q16 2Q16 3Q16 4Q16E 예상치 y-y q-q 기존추정 Consen 1Q17F 매출액 7,496 5,989 5,855 6,724 7, ,293 7,405 (034220.KS) 실적과거꾸로가는주가는없다 Company Note 2016. 12. 9 4 분기강력한실적모멘텀이부각될전망. 주배경은우호적인패널가격 흐름과환율효과가대형호재로작용할것으로예상하기때문. 내년 1 분 기도동사를둘러싼대내외적환경이낙관적일것으로예상하는바매수 의견지속제시 4 분기영업이익컨센서스상회할전망 4 분기실적은매출액 7.5 조원 (+11.7% q-q,

More information

네오위즈 ( KQ) 2018 년 turnaround 기대 Company Report 모바일웹보드의성장세가꾸준한가운데, 개발자회사겜프스가개발한브 라운더스트가국내뿐만아니라일본에서도양호한성과기록중. 2 분기중 포트나이트 PC 방서비스까지시작하면

네오위즈 ( KQ) 2018 년 turnaround 기대 Company Report 모바일웹보드의성장세가꾸준한가운데, 개발자회사겜프스가개발한브 라운더스트가국내뿐만아니라일본에서도양호한성과기록중. 2 분기중 포트나이트 PC 방서비스까지시작하면 (956.KQ) 218 년 turnaround 기대 Company Report 218. 4. 5 모바일웹보드의성장세가꾸준한가운데, 개발자회사겜프스가개발한브 라운더스트가국내뿐만아니라일본에서도양호한성과기록중. 2 분기중 포트나이트 PC 방서비스까지시작하면실적 turnaround 본격화될전망 실적개선속도당초예상보다조금씩빨라질것 218 년매출액 2,28 억원 (+31.%

More information

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc Research Center 2005.4.26 에이디피 (079950) 2005년, 두 마리 토끼를 잡는다 Analyst 류제현 (02) 3774-1418 jayryu@miraeasset.com Initiate BUY Target Price 13,600원 Price(4/25) 9,840원 6개월 목표주가 13,600원, BUY 의견으로 Initiate 목표주가

More information

웹젠 ( KQ) 중국게임규제로인한우려 Company Report 년초중국 뮤 : 기적각성 과한국 뮤오리진 2 의성공으로양호한성 장을보였으나, 이후기적각성의매출순위하락에따라실적역성장불 가피. 최근중국정부규제로인하여중국게임시장내신작

웹젠 ( KQ) 중국게임규제로인한우려 Company Report 년초중국 뮤 : 기적각성 과한국 뮤오리진 2 의성공으로양호한성 장을보였으나, 이후기적각성의매출순위하락에따라실적역성장불 가피. 최근중국정부규제로인하여중국게임시장내신작 (069080.KQ) 중국게임규제로인한우려 Company Report 2018. 11. 5 2018 년초중국 뮤 : 기적각성 과한국 뮤오리진 2 의성공으로양호한성 장을보였으나, 이후기적각성의매출순위하락에따라실적역성장불 가피. 최근중국정부규제로인하여중국게임시장내신작출시가힘들 어진가운데, 중국의존도가높은동사의경우신규라인업지연우려 뮤 IP 는여전히매력적이지만, 규제로인하여신작출시지연

More information

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3,

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3, 삼성전자 005930 Jul 18, 2012 Buy [유지] TP 1,850,000원 [유지] Company Data 현재가(07/17) 1,168,000 원 액면가(원) 5,000 원 52 주 최고가(보통주) 1,410,000 원 52 주 최저가(보통주) 680,000 원 KOSPI (07/17) 1,821.96p KOSDAQ (07/17) 480.61p

More information

이세돌 9단, 인공지능 알파고와의 제1국에서 불계패 인공지능 알파고 vs. 인간 이세돌 이세돌 9단은 9일 구글 딥마인드 기반의 인공지능인 알파고와의 바둑 대결 제1국 에서 186수 만에 불계패하였다. 알파고는 대국 상황과 관계없이 거의 1분에서 1분 30초 안에 착수

이세돌 9단, 인공지능 알파고와의 제1국에서 불계패 인공지능 알파고 vs. 인간 이세돌 이세돌 9단은 9일 구글 딥마인드 기반의 인공지능인 알파고와의 바둑 대결 제1국 에서 186수 만에 불계패하였다. 알파고는 대국 상황과 관계없이 거의 1분에서 1분 30초 안에 착수 인공지능 알파고 vs.이세돌: 세상의 변화 Industry Note 2016. 3. 10 인공지능과 인간의 바둑 대결에서 인간이 패배. 이는 인공지능 기술이 당 초 예상보다 빠르게 발전하고 있음을 의미. 인공지능 확대로 중기적 관점 반도체 업체 수혜 예상. 반도체 업체인 삼성전자, SK하이닉스 긍정적 이세돌 9단, 알파고와의 바둑 대결에서 충격적인 패배 이세돌

More information

Microsoft Word - 130205 Hanwha Morning Brief.doc

Microsoft Word - 130205 Hanwha Morning Brief.doc Hanwha Morning Brief Daily I 리서치센터 213. 2. 5 Mid Small-cap 2월 Mid Small-cap [Mid Small-cap팀, 7556] Galaxy S4 Momentum! 기업분석 SK브로드밴드 (Outperform/maintain, TP: 4,8원(유지)) [박종수, 7463 / 김기훈, 7472] 이익 턴어라운드

More information

SKC 코오롱 PI ( KQ) Company Comment 방열시트용 PI 필름성장세지속전망 1 분기실적은당사예상치에부합하였으며, 방열시트용 PI 필름성장세재확인. AP 칩 발열논란으로방열시트의중요성이부각되고있는가운데, 기존중국업체위주에

SKC 코오롱 PI ( KQ) Company Comment 방열시트용 PI 필름성장세지속전망 1 분기실적은당사예상치에부합하였으며, 방열시트용 PI 필름성장세재확인. AP 칩 발열논란으로방열시트의중요성이부각되고있는가운데, 기존중국업체위주에 (1789.KQ) Company Comment 1. 4. 28 방열시트용 PI 필름성장세지속전망 1 분기실적은당사예상치에부합하였으며, 방열시트용 PI 필름성장세재확인. AP 칩 발열논란으로방열시트의중요성이부각되고있는가운데, 기존중국업체위주에서국내스마트폰으로까지방열시트용 PI 필름수요확대중. 향후 CAPA ( 생산능력 ) 증설효과역시본격적으로반영되어성장세는가속화될전망

More information

와이지 - 원 3 분기실적 Preview (IFRS 개별 ) ( 단위 : 십억원, %) 3Q11 4Q11 1Q12 2Q12 3Q12E 당사추정 y-y q-q Consensus 매출액 조정영업이익 9.0

와이지 - 원 3 분기실적 Preview (IFRS 개별 ) ( 단위 : 십억원, %) 3Q11 4Q11 1Q12 2Q12 3Q12E 당사추정 y-y q-q Consensus 매출액 조정영업이익 9.0 (019210.KQ) Company Analysis 2012. 10. 30 Buy ( 유지 ) 목표주가 17,600 원 ( 하향 ) 현재가 ( 12/10/29) Analyst 12,500 원 손세훈 (Small Cap) 02)768-7971, midas.sohn@wooriwm.com 김진성 (RA) 02)768-7618, justin.kim@wooriwm.com

More information

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다.

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다. 차세대 반도체 공정, 노광에서 식각 증착 등으로 무게 이동 핀펫(FinFET) 3차원(3D) 낸드플래시 등 차세대 반도체 시장을 놓고 국내 장비 업체들의 기대감이 커지고 있다. 그동안 반도체 미세공정을 주도한 핵심 장비는 노광기였으나, 해외 선두 장비 업체들이 극자외선(EUV) 등 차세대 노광기 개발에 한계를 보이면서 반도체 업체들은 최근 화학 증기증착(CVD)

More information

Microsoft Word - I001_UNIT_20140905054030_2700114.doc

Microsoft Word - I001_UNIT_20140905054030_2700114.doc Industry Analysis 5 September. 214 가전/전자부품 Analyst 김운호 377-941 unokim@iminvestib.com 가전/전자부품 Edge 있는 Note 출시 Note4/ Note Edge 출시 효과 공개된 Note4, Note Edge는 기대보다는 좋은 수준의 디자인인 것으로 판단한다. 특히 Edge는 최근 삼성전자 스마트폰

More information

삼성 SDI 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 214 215E 216F 217F 매출액 - 수정 후 5,474 7,824 8,662 9,161 - 수정 전 5,474 7,58 8,347 8,969 - 변동률 3.2 3.7 2.1 영업이익 -

삼성 SDI 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 214 215E 216F 217F 매출액 - 수정 후 5,474 7,824 8,662 9,161 - 수정 전 5,474 7,58 8,347 8,969 - 변동률 3.2 3.7 2.1 영업이익 - (64.KS) Company Comment 215. 11. 2 지존의 모습을 기대한다 지난 시기와 달리 지금부터 BoT (Battery of Things) 시대 본격화 전망. 금번 매각딜 이 제공하는 삼성SDI의 2차전지 시장 지배력 강화 움직임에 주목. 주가 주도력도 재 차 강화될 전망 3분기 Review: 영업실적 흑자전환 성공 3분기 삼성SDI의 연결

More information

LG 디스플레이 ( KS) 최선이되기에충분하다 Company Comment 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upsid

LG 디스플레이 ( KS) 최선이되기에충분하다 Company Comment 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upsid (034220.KS) 최선이되기에충분하다 Company Comment 2016. 7. 28 2 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upside Potential 을강화하는요인으로판단 2 분기영업이익시장기대치상회 2 분기실적은매출액 5.9 조원 (-2%

More information

휴대폰부품 213. 3.18 아모텍, 자화전자 투자 지표 요약 아모텍: 투자의견 BUY, 목표 22,원 (단위: 억원) 자화전자: 투자의견 BUY, 목표 32,원(상향) 21 211 212P 213E 214E 21 211 212P 213E 214E 매출액 98 933

휴대폰부품 213. 3.18 아모텍, 자화전자 투자 지표 요약 아모텍: 투자의견 BUY, 목표 22,원 (단위: 억원) 자화전자: 투자의견 BUY, 목표 32,원(상향) 21 211 212P 213E 214E 21 211 212P 213E 214E 매출액 98 933 213. 3. 18 Overweight (Maintain) 휴대폰부품 Get Ready 4 Galaxy S4! 휴대폰/통신장비 Analyst 이재윤 2) 3787-475 jlee1855@kiwoom.com 전기전자 Analyst 김지산 2) 3787-4862 jisan@kiwoom.com 지난 금요일 발표된 갤럭시 S4의 진보된 사양과 iphone 5 에 대한

More information

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD Research Center 메모리반도체 철강산업1 TFTLCD 철강산업2 유통산업 휴대폰산업 1 유틸리티 산업 휴대폰산업 2 자동차 산업 21 정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의

More information

투자의견 Buy 유지. 목표주가 600,000 원으로상향 엔씨소프트에대해투자의견 Buy를유지하고, 목표주가를기존 500,000원에서 600,000원으로상향한다. 2019년이후실적추정치를상향하였고신규게임출시가임박한구간에서는실적및 Valuation이상승할수있음을감안하였다.

투자의견 Buy 유지. 목표주가 600,000 원으로상향 엔씨소프트에대해투자의견 Buy를유지하고, 목표주가를기존 500,000원에서 600,000원으로상향한다. 2019년이후실적추정치를상향하였고신규게임출시가임박한구간에서는실적및 Valuation이상승할수있음을감안하였다. (036570.KS) 두번째성공이임박했다 Company Report 2018. 11. 5 엔씨소프트의새로운 IP( 지적재산권 ) 창출이머지않았음. 2~ 4 번째모바 일게임과 6 번째 PC 게임이순차적으로출시될예정이며신규게임출시 에따라큰폭의실적성장기대됨. 제시 다시한번도래한 Big Cycle 2019 년게임업종 Top-pick 으로 엔씨소프트는 2019 년중리니지

More information

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63>

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63> 2013. 09. 09 [유진 더리치 스몰캡] 이슈 분석 갤럭시노트3, 갤럭시기어 출시 수혜주 스몰캡 팀장 박종선 Tel. 368-6076 jongsun.park@eugenefn.com 스몰캡 담당 윤혁진 Tel. 368-6499 hjyoon@eugenefn.com Summary < Samsung Unpacked 2013 Episode 2> 행사 개최 지난

More information

SK 하이닉스 ( KS) 반도체업황 Peak Out 우려에대한당사 의생각 최근반도체사이클고점우려로주가하락세. 최근가격급상승에따른 추가가격상승모멘텀은낮아질수있으나, 이런우려가오히려하반기 반도체업황호조를더욱견고히할것으로판단 우려 1: DRAM 가격약세전환가능성

SK 하이닉스 ( KS) 반도체업황 Peak Out 우려에대한당사 의생각 최근반도체사이클고점우려로주가하락세. 최근가격급상승에따른 추가가격상승모멘텀은낮아질수있으나, 이런우려가오히려하반기 반도체업황호조를더욱견고히할것으로판단 우려 1: DRAM 가격약세전환가능성 (000660.KS) 반도체업황 Peak Out 우려에대한당사 의생각 최근반도체사이클고점우려로주가하락세. 최근가격급상승에따른 추가가격상승모멘텀은낮아질수있으나, 이런우려가오히려하반기 반도체업황호조를더욱견고히할것으로판단 우려 1: DRAM 가격약세전환가능성 à 서버등응용처다양화 최근 DRAM 가격급둥에따라스마트폰 BOM(Bill of Materials) 내 DRAM

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 반도체 / 디스플레이산업 Jan 16 Industry Update Meritz Research 반도체 / 디스플레이 Analyst 박유악 6309-4523 / yuak.pak@meritz.co.kr N W E 2016. 01 www.imeritz.com S Part I. [Jan 16] 반도체, 디스플레이산업동향 2 I. [Jan 16] 반도체, 디스플레이산업동향

More information

<4D F736F F F696E74202D B9DDB5B5C3BC20BCF6B1DE20B9D720B1E2BCFA20C6AEB7A3B5E520C0FCB8C1>

<4D F736F F F696E74202D B9DDB5B5C3BC20BCF6B1DE20B9D720B1E2BCFA20C6AEB7A3B5E520C0FCB8C1> 2017 수급및기술트랜드전망 NH투자증권리서치센터테크팀장, Analyst 이세철 tel 02) 768-7585 e-mail peter.lee@nhqv.com Analyst 소개 : 이세철 삼성전자 12년 ('00 ~ '11, 차장 ) - 생산기술팀 ('00 ~'02) 엔지니어. 소재 / 공정엔지니어 : CMP (Chemical Mechanical Polishing)

More information

LG 디스플레이 4 분기실적 Preview (IFRS 연결 ) ( 단위 : 십억원, %) 4Q11 1Q12 2Q12 3Q12 4Q12E 당사추정치 직전추정치 y-y q-q Consensus 매출액 6,61 6,184 6,91 7,593 8,478 8,

LG 디스플레이 4 분기실적 Preview (IFRS 연결 ) ( 단위 : 십억원, %) 4Q11 1Q12 2Q12 3Q12 4Q12E 당사추정치 직전추정치 y-y q-q Consensus 매출액 6,61 6,184 6,91 7,593 8,478 8, (3422.KS) Company Analysis 212. 12. 18 Price Trend 2 15 1 5 Buy ( 유지 ) 목표주가 43, 원 ( 유지 ) 현재가 ( 12/12/17) Analyst 박영주 ( 반도체 /Display 총괄 ) 2)768-7585, young.park@wooriwm.com 업종 LG 디스플레이 KOSPI '11.12 '12.2

More information

Microsoft Word - 0900be5c8030087b.docx

Microsoft Word - 0900be5c8030087b.docx 213. 4. 14 Sector Update (OVERWEIGHT) LG쇼 2부 WHAT S THE STORY? Event: LG전자 목표주가를 13,원으로 상향하고 supply chain 수혜주를 찾는다. Team Analyst 조성은 sharrison.jo@samsung.com 2 22 7761 이종욱 jwstar.lee@samsung.com 2 22 7793

More information

Microsoft Word - 2016041019412290K_01_08.docx

Microsoft Word - 2016041019412290K_01_08.docx 216. 4. 1 실리콘웍스 (1832) 새로운 성장의 발견 투자 의견을 BUY로 변경하고 목표주가를 45,원으로 상향 조정. 모바일향 TDDI매출 성장, OLED TV용 driver IC 성장을 필연적이고 의미 있는 규모의 성장 으로 판단하며 UHD TV 보급 속도가 예상보다 빠름에 주목. 216년과 217년 영업이익을 각각 5%, 14% 상향 조정, 컨센서스

More information

Microsoft Word - R_120827_Display.doc

Microsoft Word - R_120827_Display.doc CONTENT SUMMARY _ 3 IT 성장 우려 속에 돋보이는 태블릿의 성장 _ 4 안드로이드 태블릿은 반격에 나설 것인가 _ 6 삼성 태블릿의 반격을 기대 _ 13 종목 분석 삼성SDI (64) 태블릿 성장으로 폴리머 전지 공급 확대 _ 18 일진디스플레이 (276) 이제 태블릿 시장이다 _ 2 이라이콤 (4152) 태블릿용 BLU도 기대된다! _ 22

More information

Figure 1 P/B valuation Element Note Sustainable COE (%) Risk-free 2.8%; beta 0.9; risk premium 6.1% 8.3 Sustainable ROE (%) 3-year average (2015~2017)

Figure 1 P/B valuation Element Note Sustainable COE (%) Risk-free 2.8%; beta 0.9; risk premium 6.1% 8.3 Sustainable ROE (%) 3-year average (2015~2017) Company update / Target price raised Korea / Handsets 3 March 2015 BUY 목표주가 현재주가 (2 Mar 2015) 41,000 원 33,450 원 Upside/downside (%) 22.6 KOSDAQ 621.81 시가총액 (십억원) 544 52 주 최저/최고 20,600-34,650 일평균거래대금 (십억원)

More information

Microsoft Word - 교보-10월탑픽_20131001.doc

Microsoft Word - 교보-10월탑픽_20131001.doc 1 월 Oct 1, 213 Mid-SmallCap 연구위원 김영준 3771-969 yjkim63@iprovest.com 연구위원 김갑호 3771-9734 kh122@iprovest.com 연구위원 최성환 3771-9355 gnus87@iprovest.com 책임연구원 심상규 3771-9751 aimhhigh@iprovest.com 책임연구원 정유석 3771-9351

More information

Microsoft Word - 반도체_3월 PC DRAM 고정가.doc

Microsoft Word - 반도체_3월 PC DRAM 고정가.doc Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 03. 18 반도체 Overweight DRAM 가격상승 + NAND 가격상승 Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy, TP 36,000 원 결론 - PC

More information

<4D F736F F D20C0CEB5AABDBA5FB9DDB5B5C3BC20B0F8C1A4BAAFC8AD726576C3D6C1BE5F E675F662E646F63>

<4D F736F F D20C0CEB5AABDBA5FB9DDB5B5C3BC20B0F8C1A4BAAFC8AD726576C3D6C1BE5F E675F662E646F63> 산업 Overweight ' 무어의법칙 ' 의재구성 : 구조 / 공정변화 2013. 5. 14 Analyst 이세철 02-6309-4523 seicheol.lee@meritz.co.kr RA 조영호 02-6309-2936 youngho.jo@meritz.co.kr 결론 : 구조변화로, Photo 대신 CVD/Etch/CMP 공정중요성증대 - 는 QPT, 3D

More information

Microsoft Word _semicon_comment_final.doc

Microsoft Word _semicon_comment_final.doc Issue&News 산업분석 211.16 반도체 Overweight [ 비중확대, 유지 ] DRAM 상승세 2 월까지지속될전망 투자포인트 Top pick 강정원 769.362 jeffkang@daishin.com 삼성전자 Buy 목표주가 32% 2,, 종목명 투자의견 목표주가 삼성전자 Buy 2,, 원 SK 하이닉스 Buy 32, 원 한미반도체 Buy 1,5

More information

Industry Comment 반도체산업 Positive ( 유지 ) DRAM / NAND 9 월상반월고정가 Flat Top Picks 삼성전자 Buy ( 유지 ) 1,600,000 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63,000 원

Industry Comment 반도체산업 Positive ( 유지 ) DRAM / NAND 9 월상반월고정가 Flat Top Picks 삼성전자 Buy ( 유지 ) 1,600,000 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63,000 원 214. 9. 16 Industry Comment Positive ( 유지 ) DRAM / NAND 9 월상반월고정가 Flat Top Picks 삼성전자 Buy ( 유지 ) 1,6, 원 ( 유지 ) SK하이닉스 Buy ( 유지 ) 63, 원 ( 유지 ) PER( 배 ) PBR( 배 ) Market 11.6 1.1 Sector 8. 1.3 9 월상반월반도체가격동향을살펴보면

More information

Microsoft Word - IO_2009_메모리반도체.doc

Microsoft Word - IO_2009_메모리반도체.doc 메모리 반도체 SemiconductorMemory Chips 2009.1 평가1실 조수희 애널리스트 7872321 suhee.cho@kisrating.com 평가1실 박춘성 연구위원 7872341 cspark@kisrating.com 평가1실 손재형 실장 7872250 jaihyoung.son@kisrating.com Summary 공급과잉 상태가 지속되는

More information

Microsoft PowerPoint - 휴대폰13년전망_2012.10_IR협의회.ppt

Microsoft PowerPoint - 휴대폰13년전망_2012.10_IR협의회.ppt 휴대폰산업 IT총괄 권성률 2)369-3724 srkwon@dongbuhappy.com 변화의 시대 동부 리서치센터 _ 기업분석팀 자동차/타이어 임은영 2)369-3713 휴대폰 시장 성장률 한자리수 시대 13년 휴대폰 시장은 6.3% 성장으로 12년 4.7% 성장 대비 소폭 개선 하지만 스마트폰 성장률은 4%대에서 2%대로 둔화 13년 전세계 스마트폰 비중은

More information

네오위즈 ( KQ) 추가성장을위한원동력이필요한시점 Company Report 브라운더스트로모바일게임에서가능성을보였고, 블레스의기대이상 성과, 포트나이트 PC 방유통권확보를통해 2018 년개선되는모습을보 였음. 다만, 2019 년에도성장을

네오위즈 ( KQ) 추가성장을위한원동력이필요한시점 Company Report 브라운더스트로모바일게임에서가능성을보였고, 블레스의기대이상 성과, 포트나이트 PC 방유통권확보를통해 2018 년개선되는모습을보 였음. 다만, 2019 년에도성장을 (095660.KQ) 추가성장을위한원동력이필요한시점 Company Report 2018. 11. 5 브라운더스트로모바일게임에서가능성을보였고, 블레스의기대이상 성과, 포트나이트 PC 방유통권확보를통해 2018 년개선되는모습을보 였음. 다만, 2019 년에도성장을유지하기위해서는신규출시게임의성 공이필요한상황 브라운더스트, 블레스, 포트나이트까지 의 2018 년은브라운더스트의안정적인성과와스팀플랫폼내블레스의양호한초기성과,

More information

Microsoft Word - 삼성전자 Preview0625최종.doc

Microsoft Word - 삼성전자 Preview0625최종.doc Company Brief 2013. 6. 25 Buy 삼성전자 (005930) 13.Q2 Preview: 스마트폰성장둔화에도선전 Analyst 이세철 (6309-4523) 목표주가 (6개월) 1,970,000원현재주가 (6.24) : 1,313,000원소속업종전기, 전자시가총액 (6.24) : 1,934,040억원평균거래대금 (60일) 4,073.9억원외국인지분율

More information

Microsoft Word - 110929 미디어업종.doc

Microsoft Word - 110929 미디어업종.doc INDUSTRY REPORT 미디어 종편이 뭐길래 종편 영업개시 임박 보도에 따르면 10월 초부터 jtbc, CSTV, 채널 A 등 종합편성채널사용사업자(이하 종편)의 프로그램 설명회가 연이어 개최될 예정. 이는 사실상 종편의 영업개시 라는 점에서 관련주에 대 한 시장의 관심이 더욱 높아질 전망. 한편 SBS, MBC 등 지상파도 미디어렙 설립이 임박. 랠리는

More information

Microsoft Word doc

Microsoft Word doc 216 년 1 월 25 일산업분석 디스플레이 Overweight ( 유지 ) 디스플레이, 가전, 휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com / RA 김범수 2-6114-2932 bk.kim@hdsrc.com 애플, OLED 전환가속화전망 향후 3 년간 OLED 시장은한국이독과점적공급구조형성예상 - 외신보도에따르면일본

More information

Microsoft Word docx

Microsoft Word docx 삼성전자 (593) 클래스는살아있다 반도체 Company Report 216.3.23 (Maintain) 매수 목표주가 ( 원,12M) 1,55, 현재주가 (16/3/22, 원 ) 1,269, 상승여력 22% 영업이익 (, 십억원 ) 22,69 Consensus 영업이익 (, 십억원 ) 23,299 EPS 성장률 (,%) -2.8 MKT EPS 성장률 (,%)

More information

, Analyst, 3774 1903, heather.kang@miraeasset.com, 3774 1782, yongdai.park@miraeasset.com Figure 1 우리은행 12 개월 forward P/B 및 업종 대비 할증(할인) 추이, NPL 비율 추이

, Analyst, 3774 1903, heather.kang@miraeasset.com, 3774 1782, yongdai.park@miraeasset.com Figure 1 우리은행 12 개월 forward P/B 및 업종 대비 할증(할인) 추이, NPL 비율 추이 Company update & Earnings preview Korea / Banks 14 July 2016 BUY 15,000 9,950 Upside/downside (%) 50.8 KOSPI 2,005.55 6,726 8,230-10,800 19.20 24.9 Forecast earnings & valuation Fiscal year ending Dec-14

More information

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4 (019680) 2007 321 COMPANY INITIATION, CFA 02) 3772-1557 02) 3772-1568 emilypark@goodi.com Kitten78@goodi.com KOSPI : 1444.17p KOSDAQ : 645.37p : 756.4 : 5,000 : 8.5 : 3.4 (39.8%) 52 / : 95,400 /65,600

More information

Microsoft Word - 2012 중소형주 20선_DTP.doc

Microsoft Word - 2012 중소형주 20선_DTP.doc 2011년 11월 30일 이슈분석 Mid / Small - Cap Top 20 年 末 年 始 强 小 株 덕산하이메탈 멜파스 인터플렉스 우주일렉트로닉스 고영 영원무역 바이오랜드 매일유업 현대그린푸드 에스원 삼익악기 예림당 후성 넥센타이어 한솔제지 하이록코리아 게임빌 포스코ICT SBS 코리안리 리서치센터 02-2003-2904 dy.park@hdsrc.com

More information

삼성전자 ( KS) Company Comment 갤럭시노트 5 및 S6 엣지플러스출시 삼성전자는하반기전략폰으로갤럭시노트 5 및 S6 엣지플러스를출시. 갤럭시노트 5 는 S 팬기능을, 갤럭시 S6 엣지플러스는엣지스크린기능강화로차별화추진.

삼성전자 ( KS) Company Comment 갤럭시노트 5 및 S6 엣지플러스출시 삼성전자는하반기전략폰으로갤럭시노트 5 및 S6 엣지플러스를출시. 갤럭시노트 5 는 S 팬기능을, 갤럭시 S6 엣지플러스는엣지스크린기능강화로차별화추진. (005930.KS) Company Comment 2015. 8. 17 갤럭시노트 5 및 S6 엣지플러스출시 는하반기전략폰으로갤럭시노트 5 및 S6 엣지플러스를출시. 갤럭시노트 5 는 S 팬기능을, 갤럭시 S6 엣지플러스는엣지스크린기능강화로차별화추진. 하지만애플도 9 월신제품발표가전망되고있어치열한프리미엄시장경쟁예상 갤럭시노트 5, 메탈채용으로디자인고급화및 S

More information

<4D F736F F D F535344B4C220C4C1C6AEB7D1B7AFB0A120C7D9BDC92E646F63>

<4D F736F F D F535344B4C220C4C1C6AEB7D1B7AFB0A120C7D9BDC92E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 11. 20 반도체 Overweight SSD 는컨트롤러가핵심! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 한국반도체업체, SDD

More information

Microsoft Word - Semicon_ doc

Microsoft Word - Semicon_ doc Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 01. 30 반도체 Overweight 1 월하반월 PC DRAM 2.9% 상승 Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - PC

More information

삼성전자실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2017F 2018F 매출액 - 수정후 200, , , ,569 - 수정전 - 200, , ,697 - 변동률

삼성전자실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2017F 2018F 매출액 - 수정후 200, , , ,569 - 수정전 - 200, , ,697 - 변동률 (005930.KS) 3 분기수정잠정실적분석 갤럭시노트 7 단종영향으로 3 분기영업이익은 7.8 조원에서 5.2 조원으로 조정. 해당손실비용은 3 분기대부분반영. 이제는제품품질에충실해 야할때로판단 3 분기영업이익은갤럭시노트 7 단종영향으로 5.2 조원으로조정 IM 부문영업이익은갤럭시노트 7 리콜및단종으로인한비용 3.8 조원 ( 기존리콜비용 1.2 조원 + 단종에따른이익감소

More information

Microsoft Word doc

Microsoft Word doc 216 년 3 월 21 일산업분석 디스플레이 Overweight ( 유지 ) 우려가기대로전환될때 32 인치 TV 패널, 1 년만에하락멈췄다 디스플레이, 가전, 휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 216 년 3월 19일시장조사기관인 IHS 는 TV,

More information

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770>

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770> 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 연구위원 이 주 완 joowanlee@hanaif.re.kr 02)2002-2683 요 약 IT 산업에 미치는 영향 프리미엄 제품 공급자 중심으로 재편 스마트폰은 단순히 기능이 추가된

More information

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal LIG Research Division Company Analysis 216/4/29 Analyst 신현준ㆍ 2)6923-7336 ㆍ anthony88@ligstock.com 삼성전자 (593KS Buy 유지 TP 1,6, 원유지 ) 별 (Galaxy) 이빛나는밤 전가격대에걸친스마트폰의판매호조및이익안정성확보로, IM 사업부문의실적견인은 1Q16 뿐만아 니라다음분기에도이어질것으로전망됩니다.

More information

LG 전자 G2 자료 : LG 전자 G2 스펙 분류 스펙 프로세서 Qualcomm Snapdragon 8 RAM Memory 디스플레이 크기및무게 카메라 배터리 Network OS 출시시점 2 GB RAM.2 인치 True HD-IPS + LCD capacitive t

LG 전자 G2 자료 : LG 전자 G2 스펙 분류 스펙 프로세서 Qualcomm Snapdragon 8 RAM Memory 디스플레이 크기및무게 카메라 배터리 Network OS 출시시점 2 GB RAM.2 인치 True HD-IPS + LCD capacitive t (667.KS) Company Analysis 213. 8. 8 Buy ( 유지 ) 목표주가 9, 원 ( 유지 ) 현재가 ( 13/8/7) Analyst 74,1 원 김혜용 2)768-763, sophia.kim@wooriwm.com 김수형 2)768-734, soohyung.kim@wooriwm.com G2 에대한과도한기대감을경계 G2 공개, LG 스마트폰의디자인차별화시작

More information

(Microsoft Word - \274\366\301\244Edit-20120416_Hynix.doc)

(Microsoft Word - \274\366\301\244Edit-20120416_Hynix.doc) 212년 4월 16일 Upgrade Price Target 반도체 하이닉스(66) l BUY 목표주가(12M): 42,원(상향) 현재주가(4월13일): 29,원 Key Data KOSPI 지수(pt) 2,8.91 52주최고/최저(원) 37,/15,6 시가총액(십억원) 2,126.7 시가총액비중(%) 1.74 발행주식수(천주) 694,24.8 6일 평균거래량(천주)

More information

Microsoft PowerPoint - 1_2010은행_.ppt

Microsoft PowerPoint - 1_2010은행_.ppt 2 1 업 종 별 전 망 Contents 7 은행 NIM에게길을묻다 이창욱 27 증권 물이 들어와야 배가 뜬다 원재웅 45 반도체 DDR3 효과는 이제 겨우 시작일 뿐 김유진 61 LCD 해답은 중국에 있다 김유진 이승철 75 자동차 내년 상반기에도 대표 선수 자격 충분 채희근 95 건설 Q(Quantity) 증가의 세가지 이유 이경자 117 유틸리티 유틸리티도

More information

Microsoft Word - Handset industry K C 160517 ed.docx

Microsoft Word - Handset industry K C 160517 ed.docx 산업 Note 216.5.17 휴대폰 비중확대 삼성전자 스마트폰의 하반기전략 살짝 엿보기 종목 투자의견 목표주가 LG전자 매수 74,원 삼성전자 매수 1,43,원 삼성전기 매수 65,원 파트론 매수 13,5원 아모텍 매수 29,원 LG이노텍 중립 - KH바텍 중립 - 인터플렉스 중립 - 갤럭시S7 시리즈는 여전히 판매가 호조인 것으로 추정 올해 3월 11일에

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

Microsoft Word - 삼성전자 잠정실적Review0708.doc

Microsoft Word - 삼성전자 잠정실적Review0708.doc Company Brief 2013. 7. 8 Buy 삼성전자 (005930) Q2 2013 잠정실적 Review Analyst 이세철 (6309-4523) 목표주가 (6개월) 1,970,000원현재주가 (7.5) : 1,267,000원소속업종전기, 전자시가총액 (7.5) : 1,866,283억원평균거래대금 (60일) 4,378.5억원외국인지분율 47.72%

More information

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc 산업분석 Report / 터치스크린 211. 4. 5 비중확대(신규) 종목 투자의견 목표주가(원) 멜파스(9664) 매수(-) 67,( ) 일진디스플레이(276) 매수(신규) 14,5(-) 에스맥(9778) 매수(신규) 18,(-) 이엘케이(9419) 매수(-) 27,( ) 삼성전자 태블릿 PC 공급업체에 주목 터치스크린 산업 올해 9% YoY 성장 비중확대

More information