Microsoft Word - STL_SCL_4판_목차.doc

Size: px
Start display at page:

Download "Microsoft Word - STL_SCL_4판_목차.doc"

Transcription

1 목차 소개 1 1 SIMATIC S7-300/400 PLC PLC 의구조 PLC 구성요소 S7-300 스테이션 S7-400 스테이션 Fault-Tolerant SIMATIC 안전 관련 SIMATIC CPU 메모리영역 분산 I/O PROFIBUS DP PROFIBUS-PA 에연결 AS-Interface 에연결 PROFIBUS-DP 의직렬인터페이스에연결 PROFINET IO PROFIBUS-DP 의산업용이더넷에연결 통신 개요 서브넷 통신서비스 연결 (Connection) 모듈주소 신호경로 슬롯주소 논리적주소 모듈시작주소 진단주소 버스노드에대한주소 주소영역 사용자데이터영역 프로세스이미지 연속성사용자데이터 비트메모리.. 37 i

2 2 STEP 7 프로그래밍소프트웨어 STEP 7 기본 (Basis) 패키지 프로그램설치 Automation License Manager SIMATIC Manager Project 와라이브러리 (Library) Multi-project( 다중 Project) 온라인도움말 Project 편집 Project 만들기 관리, 재배열, 백업 Project 버전 Multiproject 생성및편집 스테이션구성 모듈배열 모듈주소지정 모듈파라메타설정 MPI 로네트워킹하는모듈들 모듈들감시및수정 네트워크구성 네트워크뷰구성 네트워크구성으로.. DP 마스터시스템구성 연결 (Connection) 구성 네트워크변환 연결데이터를 PLC 에적재 Multiproject 에서 Project 들조정 S7 프로그램만들기 개요 심볼테이블 STL 프로그램에디터 SCL 프로그램에디터 재결선 (Rewiring) 주소우선순위 (Address Priority) 참조데이터 (Reference Data) 언어설정.. 81 ii

3 2.6 온라인모드 PLC 연결 사용자프로그램보호 CPU 정보 사용자프로그램의 CPU 적재 블록처리 프로그램테스트 하드웨어진단 STOP 원인결정 변수값감시및수정 변수값강제출력 (Force) 직접출력가능 (Enable Peripheral Output) Test Operation / Process Operation STL 프로그램상태보기 데이터주소감시및제어 SCL 프로그램디버그 SIMATIC S7 Program 프로그램처리 프로그램처리방법 우선순위클래스 (Priority Class) 프로그램처리규정 블록 블록종류 블록구조 블록특성 블록인터페이스 변수주소지정 절대주소방식 간접주소방식 변수의심볼주소방식 STL 을사용한코드블록프로그래밍 STL 문장의구조 STL 코드블록의인크리멘탈프로그래밍 iii

4 3.4.3 Overview 윈도우 Network 프로그래밍 STL 코드블록의소스파일프로그래밍 SCL 을사용한코드블록프로그래밍 SCL 문장의구조 SCL 코드블록프로그래밍 데이터블록프로그래밍 인크리멘탈 DB 프로그래밍 소스파일데이터블록프로그래밍 변수및상수 변수에관한일반사항 데이터형에관한일반사항 기본데이터형 복합데이터형 파라메타형 기본기능들 진로직연산 진로직연산처리 기본적인 2 진로직연산 AND 기능 OR 기능 Ex-OR 기능 RLO 의부정 복합 2 진로직연산 네스팅표현의처리 OR 에따른 AND 기능결합 AND 에따른 OR 와 Ex-OR 기능결합 Ex-OR 에따른 AND 기능결합 OR 기능과 Ex-OR 기능결합 네스팅표현부정 iv

5 5 메모리기능 지정 (Assign) Set 와 Reset RS 플립플롭기능 Reset 우선메모리기능 Set 우선메모리기능 진로직연산에서의메모리기능 에지평가 상승에지 하강에지 에지메모리비트테스트 진로직연산에서의에지평가 진스케일러 컨베이어벨트제어시스템의예 MOVE(Load, Transfer) 기능 데이터 Load 와 Transfer 의일반적사항 Load 기능 Load 기능의일반적표현 메모리의내용 Load 상수적재 Transfer 기능 Transfer 기능의일반적표현 다양한메모리영역으로 Transfer Accumulator 기능 Accu. 간의직접전송 Accu.1 에서의바이트교환 데이터전송을위한 SFC 데이터영역복사 인터럽트불가능한데이터영역복사 v

6 6.5.3 데이터영역초기화 STRING 변수복사 Load 메모리로부터읽기 Load 메모리로쓰기 타이머 타이머프로그래밍 타이머시작 시간설정 타이머리세트 타이머이네이블 타이머점검 타이머연산의순서 클럭발생기예제 펄스타이머 익스텐디드펄스타이머 온 - 딜레이타이머 리텐티브온 - 딜레이타이머 오프 - 딜레이타이머 IEC 타이머기능들 펄스타이머 SFB3 TP 온-딜레이타이머 SFB4 TON 오프-딜레이타이머 SFB5 TOF 카운터 카운터세트, 리세트 카운팅 카운터점검 카운터이네이블 카운터명령의순서 vi

7 8.6 IEC 카운터들 증가카운터 SFB0 CTU 감소카운터 SFB1 CTD 증가-감소카운터 SFB2 CTUD 부품카운팅예제 디지털기능들 비교기능들 비교기능의일반적표현 비교기능설명 로직연산에서의비교기능 산술기능 산술기능의일반적표현 데이터형 INT 계산 데이터형 DINT 계산 데이터형 REAL 계산 연속적인산술기능 상수를 Accu.1 에더하기 감소, 증가 수학기능 수학기능처리 삼각함수기능 아크기능 기타수학기능 vii

8 12 변환기능 변환기능처리 INT 와 DINT 숫자변환 BCD 숫자변환 REAL 숫자변환 기타변환기능들 쉬프트기능 쉬프트기능의처리 쉬프트 로테이트 워드로직 워드로직연산처리 워드로직연산설명 프로그램흐름제어 상태비트들 상태비트들의설명 상태비트들및 2 진플래그들설정 상태비트들평가 BR 사용 점프기능들 점프기능프로그래밍 무조건점프 RLO 와 BR 을사용하는점프기능 viii

9 16.4 CC0 와 CC1 을사용하는점프기능 OV 와 OS 를사용하는점프기능 점프분배기 루프점프 마스터콘트롤릴레이 (MCR) MCR 종속성 MCR 영역 MCR 존 I/O 비트들세트와리세트 블록기능 코드블록에대한블록기능 블록호출 : 일반사항 CALL 호출문장 UC 와 CC 호출문장 블록종료기능 임시로컬데이터 정적로컬데이터 데이터블록에대한블록기능 개의데이터블록레지스터 데이터주소접근 데이터블록오픈 데이터블록레지스터교환 데이터블록길이와번호 데이터주소지정에서특별한내용 데이터블록에대한 SFC 데이터블록생성 Load 메모리에서 DB 생성 데이터블록삭제 데이터블록테스트 ix

10 18.4 널 (Null) 연산 NOP 문장 프로그램표시문장 블록파라메타 블록파라메타일반사항 블록파라메타정의 블록파라메타처리 블록파라메타의선언 FC 값의선언 블록파라메타초기화 형식파라메타 실제파라메타 블록파라메타전달 예제 컨베이어벨트예제 부품카운트예제 Feed 예제 프로그램처리 메인프로그램 프로그램구성 프로그램구조 프로그램구성 스캔사이클제어 프로세스이미지갱신 스캔사이클감시시간 최소스캔사이클타임, 백그라운드스캐닝 반응시간 시작정보 x

11 20.3 프로그램기능들 타임 시스템클럭읽기 런-타임메타 (Run-Time Meter) CPU 메모리압축 대기와정지 다중프로세싱모드 (Multi-Processing Mode) OB 프로그램실행시간결정 분산 I/O 를통한통신 PROFIBUS DP 주소지정 PROFIBUS DP 구성 PROFIBUS DP 의특별한기능들 PROFINET IO 주소지정 PROFINET IO 구성 PROFINET IO 의특별한기능들 분산 I/O 를위한시스템블록들 전역데이터통신 기초 GD 통신구성 GD 통신을위한 SFC 들 S7 기본통신 스테이션-내부 S7 기본통신 개의스테이션내에서데이터교환을위한 SFC 들 스테이션-외부 S7 기본통신 스테이션-외부 S7 기본통신을위한 SFC 들 S7 통신 기초 양방향데이터교환 단방향데이터교환 프린터데이터전송 제어기능들 감시기능 xi

12 20.8 S7-300C 를사용한 PtP 통신 기초 ASCII 드라이버및 3964(R) 절차 RK512 컴퓨터링크 RUN 동안의구성 (Configuration In Run) 구성수정의준비 구성변경 구성다운로드 CiR 동기화시간 프로그램실행에대한영향 CiR 절차제어 인터럽트처리 일반사항 타임 - 오브 - 데이인터럽트 타임-오브-데이인터럽트처리 STEP 7 으로타임-오브-데이인터럽트구성 타임-오브-데이인터럽트를위한 SFC 들 타임 - 딜레이인터럽트 타임-딜레이인터럽트처리 STEP 7 으로타임-딜레이인트럽트구성 타임-딜레이인터럽트를위한 SFC 들 주기적인터럽트 주기적인터럽트처리 STEP 7 으로주기적인터럽트구성 하드웨어인터럽트 하드웨어인터럽트발생 하드웨어인터럽트서비스 STEP 7 으로하드웨어인터럽트구성 xii

13 21.6 DPV1 인터럽트 멀티프로세서인터럽트 동기사이클인터럽트 동기사이클인터럽트처리 프로세스이미지의等時갱신 STEP 7 으로동기사이클인터럽트구성 인터럽트처리 인터럽트들의가능및불-가능 지연및지연된인터럽트가능 추가적인인터럽트정보읽기 재시작 (Restart) 특성 일반사항 운영모드 HOLD 모드 출력모듈불가능 재시작 OB 전원공급 STOP 모드 메모리리세트 보존성메모리 재-시작파라메타설정 재 - 시작의종류 START-UP 모드 콜드재시작 웜재시작 핫재시작 모듈주소확인 모듈파라메타설정 모듈파라메타설정의일반사양 모듈파라메타설정을위한시스템블록들 데이터레코드전송을위한블록들 xiii

14 23 에러처리 동기에러 동기에러처리 에러필터 동기에러차단 동기에러차단해제 에러레지스터읽기 대체값입력 비 - 동기에러 시스템진단 진단이벤트와진단버퍼 사용자입력내용을진단버퍼에쓰기 진단인터럽트평가 시스템상태리스트읽기 변수처리 데이터형 기본데이터형 기본데이터형의선언 BOOL, BYTE, WORD, DWORD, CHAR 숫자표현 시간표현 복합데이터형 DATE_AND_TIME STRING ARRAY STRUCT UDT(User-Defined Data Type) UDT 의인크리멘탈프로그래밍 UDT 의소스파일프로그래밍 xiv

15 25 간접주소지정 포인터 영역포인터 DB 포인터 ANY 포인터 STL 에서간접주소지정의종류 일반사항 간접주소 메모리-간접주소지정 레지스터-간접영역-내부주소지정 레지스터-간접영역-교차주소지정 요약 주소레지스터를사용한작업 주소레지스터로적재 주소레지스터로부터전송 주소레지스터교환 주소레지스터에더하기 간접주소지정의특성 주소레지스터 AR1 사용 주소레지스터 AR2 사용 정적로컬데이터에대한제한 직접변수접근 변수주소적재 변수의데이터저장 전역데이터블록에저장 Instance 데이터블록에저장 임시로컬데이터에저장 파라메타전송시데이터저장 FC 에서파라메타저장 FB 에서파라메타저장 변수 ANY 포인터 메시지프레임예제의간단한설명 xv

16 SCL(Structured Control Language) SCL 개요, 언어요소 SIMATIC 에통합 설치 Project 구성 SCL 소스편집 심볼테이블완성 SCL 프로그램컴파일 SCL 블록적재 SCL 블록테스트 주소및데이터형 데이터형뷰 (View) 주소지정 절대주소지정 심볼주소지정 SCL 에서간접주소지정 명령어 (Operator) 표현 (Expression) 산술표현 비교표현 논리표현 값지정 기본데이터형에대한지정 DT 및 STRING 변수의지정 구조체의지정 지정필드 제어문장들 IF 문장 CASE 문장 FOR 문장 WHILE 문장 xvi

17 28.5 REPEAT 문장 CONTINUE 문장 EXIT 문장 RETURN 문장 GOTO 문장 SCL 블록들 SCL 블록 일반사항 SCL 블록프로그래밍 FC 값이없는 FC FC 값을갖는 FC FB(Function Block) 임시로컬데이터 정적 (Static) 로컬데이터 블록파라메타 형식파라메타 SCL 블록호출 FC 값없는 FC FC 값있는 FC 자체의데이터블록을갖는 FB 로컬 Instance 로서 FB 실제파라메타 EN/ENO 메커니즘 OK 변수 ENO 출력 EN 입력 SCL 기능들 타이머기능 카운터기능 수학기능 쉬프트및로테이트 xvii

18 30.5 변환기능 내부변환기능들 외부변환기능들 숫자기능들 SCL 을사용한사용자 Function 프로그래밍 STL 을사용한사용자 Function 프로그래밍 SCL 예제의간단한설명 컨베이어예제 메시지프레임예제 일반예제들 IEC 기능들 변환기능 비교기능 STRING 기능 날짜 / 시각기능 숫자기능 부록 S5/S7 변환 일반사항 사전준비 운영할시스템 (PLC) 에서의실행가능성점검 프로그램실행특성점검 모듈점검 주소점검 변환 마크로만들기 변환준비 xviii

19 변환시작 변환가능한기능들 후 - 편집 STEP 7 Project 만들기 변환되지않는기능들 주소변경 간접주소지정 대단히긴 데이터블록에대한접근 절대주소들을사용한작업 파라메타초기화 특수기능 OB 들 에러처리 블록라이브러리 OB 들 (Organization Blocks) SFB, SFC 들 (System Function Blocks) IEC 기능들 S5-S7 변환블록들 (S5 S7 Converting Blocks) TI-S7 변환블록들 (TI S7 Converting Blocks) PID 제어블록들 (PID Control Blocks) 통신블록들 (Communication Blocks) 기타블록들 (Miscellaneous Blocks) SIMATIC_NET_CP I/O 2 중화 (Redundant IO(V1)) I/O 2 중화 CGP(Redundant IO CGP) STL 연산정리 기본기능 진로직연산 메모리기능 Transfer 기능 xix

20 타이머기능 카운터기능 디지털기능 비교기능 수학기능 산술기능 변환기능 쉬프트기능 워드로직연산 프로그램흐름제어 점프기능 마스터콘트롤릴레이 블록기능 간접주소지정 SCL 문장및기능정리 명령어들 프로그램제어문장 블록호출 SCL 기본기능 타이머기능 카운터기능 변환기능 수학기능 쉬프트와로테이트 숫자기능 xx

초급과정 목차

초급과정 목차 제목 S7 PLC 소개.... 2 STEP 7 패키지구성... 3 Automation License Manager... 4 Automation License Manager 화면설명... 5 License 설치 / 백업... 6 P.C PLC 연결...... 7 인터페이스설정.... 8 P.C Adapter(RS-232C, USB) 인터페이스설정... 9 P.C

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

<4D F736F F D20C0CCBEBEC1A6BEEE5FC3A5BCD2B0B35F >

<4D F736F F D20C0CCBEBEC1A6BEEE5FC3A5BCD2B0B35F > 이씨제어 한글기술서적소개 1. SIMATIC S7-300/400 초급과정교육교재 S7-300/400 에대한초급교육에사용되는한글판교육교재. * 참고영어원문 : Programming with STEP 7, Automating with STEP 7 in STL and SCL A4 단면 280 쪽, 파워포인트컬러판 2. SIMATIC S7-300/400 중급과정교육교재

More information

<BFDCB1B9C0CE20C5F5C0DAB1E2BEF7C0C720B3EBBBE7B0FCB0E82E687770>

<BFDCB1B9C0CE20C5F5C0DAB1E2BEF7C0C720B3EBBBE7B0FCB0E82E687770> 외국인 투자기업의 노사관계 요 약 i ii 외국인 투자기업의 노사관계 요 약 iii iv 외국인 투자기업의 노사관계 요 약 v vi 외국인 투자기업의 노사관계 요 약 vii viii 외국인 투자기업의 노사관계 요 약 ix x 외국인 투자기업의 노사관계 요 약 xi xii 외국인 투자기업의 노사관계 요 약 xiii xiv 외국인 투자기업의 노사관계

More information

°æÁ¦Àü¸Á-µ¼º¸.PDF

°æÁ¦Àü¸Á-µ¼º¸.PDF www.keri.org i ii iii iv v vi vii viii ix x xi xii xiii xiv xv 3 4 5 6 7 8 9 10 11 12 13 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 47 48 49 50 51 52 53

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

Microsoft Word - STEP7목차

Microsoft Word - STEP7목차 1 제품소개및소프트웨어설치... 1 1.1 STEP 7 개요... 1 1.2 STEP 7 표준패키지... 6 1.3 STEP 7 V5.5 에서새로워진내용... 11 1.4 STEP 7 표준패키지의확장된사용... 13 1.4.1 엔지니어링도구... 15 1.4.2 런-타임소프트웨어... 17 14.3 HMI(Human Machine Interface)... 19

More information

untitled

untitled Content Ⅰ. 기본방향 1. 목 적 3 2. 적용범위 3 Ⅱ. 사회복지관 운영 1. 사회복지관의 정의 7 2. 사회복지관의 목표 7 3. 사회복지관의 연혁 7 4. 사회복지관 운영의 기본원칙 8 Ⅲ. 사회복지관 사업 1. 가족복지사업 15 2. 지역사회보호사업 16 3. 지역사회조직사업 18 4. 교육 문화사업 19 5. 자활사업 20 6. 재가복지봉사서비스

More information

09 강제근로의 금지 폭행의 금지 공민권 행사의 보장 38 10 중간착취의 금지 41 - 대판 2008.9.25, 2006도7660 [근로기준법위반] (쌍용자동차 취업알선 사례) 11 균등대우의 원칙 43 - 대판 2003.3.14, 2002도3883 [남녀고용평등법위

09 강제근로의 금지 폭행의 금지 공민권 행사의 보장 38 10 중간착취의 금지 41 - 대판 2008.9.25, 2006도7660 [근로기준법위반] (쌍용자동차 취업알선 사례) 11 균등대우의 원칙 43 - 대판 2003.3.14, 2002도3883 [남녀고용평등법위 01 노동법 법원으로서의 노동관행 15 - 대판 2002.4.23, 2000다50701 [퇴직금] (한국전력공사 사례) 02 노동법과 신의성실의 원칙 17 - 대판 1994.9.30, 94다9092 [고용관계존재확인등] (대한조선공사 사례) 03 퇴직금 청구권 사전 포기 약정의 효력 19 - 대판 1998.3.27, 97다49732 [퇴직금] (아시아나 항공

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

PRO1_16E [읽기 전용]

PRO1_16E [읽기 전용] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I

목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I 목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I 목차 제 4 장 제 5 장 II 제 6 장 목차 제 7 장펑션과펑션블록 III 목차 제 8 장기본펑션 / 펑션블록라이브러리 IV 목차 V 목차 VI 목차 VII 1-1 1-2 2-1 2-2 2) 3) 2-3 2-4 3-1 3-2 3-3 3-4 3-5 3-6 ±± ±± 3-7 3-8 3-9 3-10

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

<3036C7E2BCF6C3D6C1BEBABB2E687770>

<3036C7E2BCF6C3D6C1BEBABB2E687770> 문화향수실태조사 SURVEY REPORT ON CULTURAL ENJOYMENT 2006 문화관광부 한국문화관광정책연구원 Ministry of Culture & Tourism Korea Culture & Tourism Policy Institute 서문 우리나라 국민들이 문화와 예술을 얼마나, 그리고 어떻게 즐기고 있는지를 객관적으 로 파악하기 위하여, 1988년부터

More information

CONTENTS.HWP

CONTENTS.HWP i ii iii iv v vi vii viii ix x xi - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 -

More information

- i - - ii - - i - - ii - - i - - ii - - iii - - iv - - v - - vi - - vii - - viii - - ix - - x - - xi - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 -

More information

INDUS-8.HWP

INDUS-8.HWP i iii iv v vi vii viii ix x xi 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64

More information

PRO1_18E [읽기 전용]

PRO1_18E [읽기 전용] : S700 Siemens AG 999 All rights reserved Date: 0009 File: PRO_E Information and S7300 S7300 CPU () 3 S7300 CPU () S700 CPU () 5 S700 CPU () 6 S700 7 S700 : 9 CPU : 0 CPU : CPU : 3 SFC 35 5 ST7PRO : S700

More information

- I - - II - - III - - IV - - V - - VI - - VII - - VIII - - IX - - X - - XI - - XII - - XIII - - XIV - - XV - - XVI - - XVII - - XVIII - - XIX - - XX - - XXI - - XXII - - XXIII - - 1 - - - - 3 - - - -

More information

세계 비지니스 정보

세계 비지니스 정보 - i - ii - iii - iv - v - vi - vii - viii - ix - 1 - 2 - 3 - - - - - - - - - - 4 - - - - - - 5 - - - - - - - - - - - 6 - - - - - - - - - 7 - - - - 8 - 9 - 10 - - - - - - - - - - - - 11 - - - 12 - 13 -

More information

99-18.hwp

99-18.hwp - vii - - viii - - ix - - x - - xi - - xii - - xiii - - xiv - - xv - - xvi - - xvii - - xviii - - xix - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29 - - 30 - - 31 - - 32 - - 33 -

More information

13Åë°è¹é¼Ł

13Åë°è¹é¼Ł 이면은빈공간입니다. National Statistics White Paper 2012 National Statistics White Paper ii _ STATISTICS KOREA 2012 _ iii 2012 National Statistics White Paper 1 26 2 27 3 28 4 29 5 30 6 31 7 32 8 33 9 34 10 35

More information

Microsoft PowerPoint - 07_04_s7기초기술교육_simatic_manager_operation.ppt [호환 모드]

Microsoft PowerPoint - 07_04_s7기초기술교육_simatic_manager_operation.ppt [호환 모드] Simatic Manager Operation 참고문헌 : Programming with Step7(V5.4) Edition 03/2006 SIMATIC Manager 신규프로젝트작성 (New Project) SIMATIC Manager File 메뉴 New ( 단축키 CTRL + N) 기존에작업했던프로젝트 신규프로젝트이름입력 프로젝트저장경로 경로변경시 SIMATIC

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

제 출 문 중소기업청장 귀하 본 보고서를 중소기업 원부자재 구매패턴 조사를 통한 구매방식 개선 방안 연구 의 최종보고서로 제출합니다. 2007. 12 한국산업기술대학교 산학협력단 단 장 최 정 훈 연구책임자 : 이재광 (한국산업기술대학교 부교수) 공동연구자 : 노성호

제 출 문 중소기업청장 귀하 본 보고서를 중소기업 원부자재 구매패턴 조사를 통한 구매방식 개선 방안 연구 의 최종보고서로 제출합니다. 2007. 12 한국산업기술대학교 산학협력단 단 장 최 정 훈 연구책임자 : 이재광 (한국산업기술대학교 부교수) 공동연구자 : 노성호 최종보고서 중소기업 원부자재 구매패턴 조사를 통한 구매방식 개선방안 연구 2007. 12 제 출 문 중소기업청장 귀하 본 보고서를 중소기업 원부자재 구매패턴 조사를 통한 구매방식 개선 방안 연구 의 최종보고서로 제출합니다. 2007. 12 한국산업기술대학교 산학협력단 단 장 최 정 훈 연구책임자 : 이재광 (한국산업기술대학교 부교수) 공동연구자 : 노성호 (한국산업기술대학교

More information

<28323129BACFC7D1B1B3C0B0C1A4C3A5B5BFC7E228B1E2BCFABAB8B0ED20545220323031342D373929202D20C6EDC1FD2035B1B32E687770>

<28323129BACFC7D1B1B3C0B0C1A4C3A5B5BFC7E228B1E2BCFABAB8B0ED20545220323031342D373929202D20C6EDC1FD2035B1B32E687770> 기술보고 TR 2014-79 북한 교육정책 동향 분석 및 서지 정보 구축 연구책임자 _ 김 정 원 (한국교육개발원 ) 공동연구자 _ 김 김 한 강 지 은 승 구 수 (한국교육개발원) 주 (한국교육개발원) 대 (한국교육개발원) 섭 (한국교육개발원) 연 구 조 원 _ 이 병 희 (한국교육개발원) 머리말 최근 통일에 대한 논의가 어느 때보다 활발합니다. 그에 따라

More information

- i - - ii - - iii - - iv - - v - - vi - - vii - - viii - - ix - - x - - xi - - xii - - xiii - - xiv - - xv - - xvi - - xvii - - xviii - - xix - - xx - - xxi - - xxii - - xxiii - - xxiv - - 3 - - 4 -

More information

iOS ÇÁ·Î±×·¡¹Ö 1205.PDF

iOS ÇÁ·Î±×·¡¹Ö 1205.PDF iphone ios 5 DEVELOPMENT ESSENTIALS Copyright 2011 Korean Translation Copyright 2011 by J-Pub. co. The Korean edition is published by arrangement with Neil Smyth through Agency-One, Seoul. i iphone ios

More information

<5BC6EDC1FD5DBEEEBEF7C0CCC1D6B3EBB5BFC0DAC0CEB1C7BBF3C8B2BDC7C5C2C1B6BBE7C3D6C1BEBAB8B0EDBCAD28BAB8C0CCBDBABEC6C0CC292E687770>

<5BC6EDC1FD5DBEEEBEF7C0CCC1D6B3EBB5BFC0DAC0CEB1C7BBF3C8B2BDC7C5C2C1B6BBE7C3D6C1BEBAB8B0EDBCAD28BAB8C0CCBDBABEC6C0CC292E687770> 어업 이주노동자 인권상황 실태조사 2012년도 국가인권위원회 인권상황 실태조사 연구용역보고서를 제출합니다 2012. 10. 연구수행기관 한양대학교 글로벌다문화연구원 연구책임자 오경석 연 구 원 이한숙 김사강 김민정 류성환 윤명희 정정훈 연구보조원 최상일 이 보고서는 연구용역수행기관의 결과물로서, 국가인권위원회의 입장과 다를 수 있습니다 연구요약 이 연구는 연근해

More information

untitled

untitled 4.1.3 %IX0.0.0 %IX0.0.1 %QX0.1.0 ( ) %QX0.1.0 %IX0.0.0 %IX0.0.1 %QX0.1.0 * 5 GMWIN. - 31 - SW1 ON SW2 OFF. SW2 ON SW1 OFF. (%QX0.1.0) SW1 (%IX0.0.0) SW2 (%IX0.0.0) SW1 SW1 SW2 SW2 ( ) SW1 SW2-32 - P (

More information

<C1A4C3A5BFACB1B82031312D3420C1A4BDC5C1FAC8AFC0DAC0C720C6EDB0DFC7D8BCD220B9D720C0CEBDC4B0B3BCB1C0BB20C0A7C7D120B4EBBBF3BAB020C0CEB1C720B1B3C0B020C7C1B7CEB1D7B7A520B0B3B9DF20BAB8B0EDBCAD28C7A5C1F6C0AF292E687770>

<C1A4C3A5BFACB1B82031312D3420C1A4BDC5C1FAC8AFC0DAC0C720C6EDB0DFC7D8BCD220B9D720C0CEBDC4B0B3BCB1C0BB20C0A7C7D120B4EBBBF3BAB020C0CEB1C720B1B3C0B020C7C1B7CEB1D7B7A520B0B3B9DF20BAB8B0EDBCAD28C7A5C1F6C0AF292E687770> 제 출 문 보건복지부장관 귀 하 이 보고서를 정신질환자의 편견 해소 및 인식 개선을 위한 대상별 인권 교육프로그램 개발 연구의 결과보고서로 제출합니다 주관연구기관명 서울여자간호대학 산학협력단 연 구 책 임 자 김 경 희 연 구 원 김 계 하 문 용 훈 염 형 국 오 영 아 윤 희 상 이 명 수 홍 선 미 연 구 보 조 원 임 주 리 보 조 원 이 난 희 요

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

연구요약 1. 서론 연구의 목적 본 연구는 청소년 교육정책의 바람직한 방향을 설정하고, 미래지향적인 정책과제와 전략, 그리고 비전을 도출하기 위해 수행되었다. 이를 위해 지 난 15년간의 청소년 교육 환경 및 정책의 변화를 분석하고, 향후 15년간 의 청소년 교육 환경

연구요약 1. 서론 연구의 목적 본 연구는 청소년 교육정책의 바람직한 방향을 설정하고, 미래지향적인 정책과제와 전략, 그리고 비전을 도출하기 위해 수행되었다. 이를 위해 지 난 15년간의 청소년 교육 환경 및 정책의 변화를 분석하고, 향후 15년간 의 청소년 교육 환경 발간등록번호 국가청소년 www.youth.go.kr 청소년 희망세상 비전 2030 연구 (교육 분야) 책임연구원 : 임천순 (세종대학교 교수) 공동연구원 : 채재은 (경원대학교 부교수) 우명숙 (한양대학교 초빙교수) 국 가 청 소 년 위 원 회 연구요약 1. 서론 연구의 목적 본 연구는 청소년 교육정책의 바람직한 방향을 설정하고, 미래지향적인 정책과제와 전략,

More information

2005 중소기업 컨설팅 산업 백서

2005 중소기업 컨설팅 산업 백서 제 출 문 중소기업청장 귀하 본 보고서를 중소기업 컨설팅 산업 백서 에 대한 최종보고 서로 제출합니다. 2005. 12. 16 (사)한국경영기술컨설턴트협회 회장 박양호 수탁연구기관 : (사)한국경영기술컨설턴트협회 컨설팅혁신정책연구원 총괄연구책임자 : 경영학 박사 김태근(정책분과위원장) 연 구 자 : 경제학 박사 김윤종 통계학 박사 김용철 경제학 박사 변종석

More information

Microsoft PowerPoint - 13장_OB 블록.ppt

Microsoft PowerPoint - 13장_OB 블록.ppt OB (Organization Block) 블록 운영시스템 OB1 FC FB FB FC SFC System Functions SFB System Function Blocks 다른 OB File: PRO1_13E.1 차례 교육목표... 2 Organization Blocks 개요... 3 Warm Restart 와 Hot Restart 시의구동 OB... 4

More information

CONTENTS C U B A I C U B A 8 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII Part IX 9 C U B A 10 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII Part IX 11 C U B

More information

<5B33B9F8B0FAC1A65D20B9E6BCDBBDC9C0C7BDC3BDBAC5DB20B0B3BCB1B9E6BEC8BFACB1B82DC3D6C3D6C1BE2E687770>

<5B33B9F8B0FAC1A65D20B9E6BCDBBDC9C0C7BDC3BDBAC5DB20B0B3BCB1B9E6BEC8BFACB1B82DC3D6C3D6C1BE2E687770> KCSC 2015-003 방송심의시스템 개선방안 연구 시청자참여심의제 도입 가능성을 중심으로 2015. 12. 이 보고서는 2015년 방송통신심의위원회의 심의정책 연구개발 사업의 연구결과로서 보고서의 내용은 연구자의 견해이며, 방송통신심의위원회의 공식 입장은 아닙니다. 방송심의시스템 개선방안 연구 - 시청자참여심의제 도입 가능성을 중심으로 - 연 구 진 연구수행기관

More information

PRO1_19E [읽기 전용]

PRO1_19E [읽기 전용] PCS 7 NET PC WinCC HMI DP Siemens AG 1999 All rights reserved File: PRO1_19E1 Information and 2 /C7/M7 WinAC 3 STEP 7- S7/C7/M7 4 S7- GRAPH 5 S7- HiGraph 6 S7- SCL 7 CFC M7 8 S7- SFC 9 S7- PDIAG 10 S7-

More information

00-1표지

00-1표지 summary _I II_ summary _III 1 1 2 2 5 5 5 8 10 12 13 14 18 24 28 29 29 33 41 45 45 45 45 47 IV_ contents 48 48 48 49 50 51 52 55 60 60 61 62 63 63 64 64 65 65 65 69 69 69 74 76 76 77 78 _V 78 79 79 81

More information

<BEC6BFF4BCD2BDCCBAB8B0EDBCAD28C3D6C1BE29303831302E687770>

<BEC6BFF4BCD2BDCCBAB8B0EDBCAD28C3D6C1BE29303831302E687770> 동아시아역내 일본자동차산업의 아웃소싱 시장 분석을 통한 국내자동차부품기업의 진출방안 연구 2008. 8. 29. 한일산업기술협력재단 연구 참여자 책임연구자: 김도훈(한일산업기술협력재단 일본기업연구센터 연구위원) 연 구 자: 오재훤(메이지대학 국제일본학부 준교수) 연 구 자: 김봉길(도야마대학 경제학부 교수) 연 구 자: 정성춘(대외경제정책연구원 일본팀장) 연

More information

C 프로그래밍 언어 입문 C 프로그래밍 언어 입문 김명호저 숭실대학교 출판국 머리말..... C, C++, Java, Fortran, Python, Ruby,.. C. C 1972. 40 C.. C. 1999 C99. C99. C. C. C., kmh ssu.ac.kr.. ,. 2013 12 Contents 1장 프로그래밍 시작 1.1 C 10 1.2 12

More information

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 System call table and linkage v Ref. http://www.ibm.com/developerworks/linux/library/l-system-calls/ - 2 - Young-Jin Kim SYSCALL_DEFINE 함수

More information

<BAD2B9FDBAB9C1A620BAB8B0EDBCAD5FC5EBC7D55F76657230345FB9DFB0A3BBE7C6F7C7D45F33C2F720B1B3C1A428B1D7B8B22020C3DFC3E2292E687770>

<BAD2B9FDBAB9C1A620BAB8B0EDBCAD5FC5EBC7D55F76657230345FB9DFB0A3BBE7C6F7C7D45F33C2F720B1B3C1A428B1D7B8B22020C3DFC3E2292E687770> 2014 저작권 보호 연차보고서 nnual eport on Copyright rotection 2014 저작권 보호 연차보고서 nnual eport on Copyright rotection 발간사 올해 초 안방극장을 휘어잡은 드라마 별에서 온 그대 는 독특한 소재와 한류스타의 완벽한 연기로 대한민국을 넘어 중국과 일본 등 아시아에 다시금 한류 열풍을 불러일으켰습

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

경제통상 내지.PS

경제통상 내지.PS CONTENTS I 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 II 38 39 40 41 42 43 III 46 47 48 49 50 51 52 53 54 55 56 57 58 59 IV 62 63 64 65 66 67 68 69 V

More information

°æÁ¦Åë»ó³»Áö.PDF

°æÁ¦Åë»ó³»Áö.PDF CONTENTS I 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 II 38 39 40 41 42 43 III 46 47 48 49 50 51 52 53 54 55 56 57 58 59 IV 62 63 64 65 66 67 68 69 V

More information

우루과이 내지-1

우루과이 내지-1 U R U G U A Y U r u g u a y 1. 2 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII 3 U r u g u a y 2. 4 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII 5 U r u g u a

More information

Microsoft PowerPoint - chap01-C언어개요.pptx

Microsoft PowerPoint - chap01-C언어개요.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 프로그래밍의 기본 개념을

More information

직업편-1(16일)

직업편-1(16일) 2012 특수교육대상학생을 위한 진로정보 발간사 21세기 지식기반 정보화 사회는 개인에게 변화하는 직업세계를 바로 이해하고 고용능력을 함양하는 평생학습이 중요함을 강조합니다. 특히 평생직장시대에서 일생 직업을 5-6번 전환하는 평생직업시대 로의 패러다임 변화는 지속적인 진로개발(sustainable career development)의 우선적 책무성이 개인에게

More information

Microsoft PowerPoint - 13장_OB 블록.ppt

Microsoft PowerPoint - 13장_OB 블록.ppt OB (Organization Block) 블록 운영시스템 OB1 FC FB FB FC SFC System Functions SFB System Function Blocks 다른 OB File: PRO1_13E.1 차례 교육목표... 2 Organization Blocks 개요... 3 Warm Restart 와 Hot Restart 시의구동 OB... 4

More information

High Available SIMATIC S7-400H

High Available SIMATIC S7-400H Automation and Drives IMATIC S7-400H The Automation System Automation and Drives A&D AS, 0892006, Chart 2. (Redundant) :.... I/O Automation and Drives A&D AS, 0892006, Chart 3 (1) I/O (,, ) (Offshore)

More information

Àç°¡ »êÀçÀå¾ÖÀÎÀÇ ÀçÈ°ÇÁ·Î±×·¥¿¡ °üÇÑ¿¬±¸.HWP

Àç°¡ »êÀçÀå¾ÖÀÎÀÇ ÀçÈ°ÇÁ·Î±×·¥¿¡ °üÇÑ¿¬±¸.HWP 재가산재장애인의재활프로그램에관한연구 (Ⅰ) - 산재보험사후관리실태및개선방안 - 한국노동연구원 재가산재장애인의재활프로그램에관한연구 (Ⅰ) i 재가산재장애인의재활프로그램에관한연구 (Ⅰ) ii 재가산재장애인의재활프로그램에관한연구 (Ⅰ) iii 재가산재장애인의재활프로그램에관한연구 (Ⅰ) iv 재가산재장애인의재활프로그램에관한연구 (Ⅰ) v 재가산재장애인의재활프로그램에관한연구

More information

정책연구개발사업 2010-위탁 대학 등록금의 합리적 책정을 위한 실행방안 연구 연 구 책 임 자 공 동 연 구 자 송동섭(단국대학교) 이동규(충남대학교) 이창세(재능대학) 한창근(인하공업전문대학) 연 구 협 력 관 장미란(교육과학기술부) 교육과학기술부 이 연구는 201

정책연구개발사업 2010-위탁 대학 등록금의 합리적 책정을 위한 실행방안 연구 연 구 책 임 자 공 동 연 구 자 송동섭(단국대학교) 이동규(충남대학교) 이창세(재능대학) 한창근(인하공업전문대학) 연 구 협 력 관 장미란(교육과학기술부) 교육과학기술부 이 연구는 201 제 출 문 교육과학기술부장관 귀하 본 보고서를 대학 등록금의 합리적 책정을 위한 실행방안 연구 최종 보고서로 제출합니다. 2010년 12월 일 주관연구기관명:단국대학교 연구기간:2010. 7. 19-2010. 12. 18 주관연구책임자:송 동 섭 참여연구원 공동연구원:이 동 규 이 창 세 한 창 근 연 구 조 원:심 재 우 정책연구개발사업 2010-위탁 대학

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

영암군 관광종합개발계획 제6장 관광(단)지 개발계획 제7장 관광브랜드 강화사업 1. 월출산 기( 氣 )체험촌 조성사업 167 (바둑테마파크 기본 계획 변경) 2. 성기동 관광지 명소화 사업 201 3. 마한문화공원 명소화 사업 219 4. 기찬랜드 명소화 사업 240

영암군 관광종합개발계획 제6장 관광(단)지 개발계획 제7장 관광브랜드 강화사업 1. 월출산 기( 氣 )체험촌 조성사업 167 (바둑테마파크 기본 계획 변경) 2. 성기동 관광지 명소화 사업 201 3. 마한문화공원 명소화 사업 219 4. 기찬랜드 명소화 사업 240 목 차 제1장 과업의 개요 1. 과업의 배경 및 목적 3 2. 과업의 성격 5 3. 과업의 범위 6 4. 과업수행체계 7 제2장 지역현황분석 1. 지역 일반현황 분석 11 2. 관광환경 분석 25 3. 이미지조사 분석 45 4. 이해관계자 의견조사 분석 54 제3장 사업환경분석 1. 국내 외 관광여건분석 69 2. 관련계획 및 법규 검토 78 3. 국내 외

More information

동북아 문화공동체 형성을 위한 한 중 일 대중문화 교류의 현황 및 증진 방안 연구 동북아 문화공동체 특별연구위원회 구 분 성 명 소 속 및 직 위 위 원 장 김 광 억 서울대 인류학과 교수 김 우 상 연세대 정치외교학과 교수 박 준 식 한림대 사회학과 교수 전 영 평 대구대 도시행정학과 교수 위 원 정 진 곤 한양대 교육학과 교수 정 하 미 한양대 일본언어

More information

Chapter ...

Chapter ... Chapter 4 프로세서 (4.9절, 4.12절, 4.13절) Contents 4.1 소개 4.2 논리 설계 기초 4.3 데이터패스 설계 4.4 단순한 구현 방법 4.5 파이프라이닝 개요*** 4.6 파이프라이닝 데이터패스 및 제어*** 4.7 데이터 해저드: 포워딩 vs. 스톨링*** 4.8 제어 해저드*** 4.9 예외 처리*** 4.10 명령어 수준

More information

JVM 메모리구조

JVM 메모리구조 조명이정도면괜찮조! 주제 JVM 메모리구조 설미라자료조사, 자료작성, PPT 작성, 보고서작성. 발표. 조장. 최지성자료조사, 자료작성, PPT 작성, 보고서작성. 발표. 조원 이용열자료조사, 자료작성, PPT 작성, 보고서작성. 이윤경 자료조사, 자료작성, PPT작성, 보고서작성. 이수은 자료조사, 자료작성, PPT작성, 보고서작성. 발표일 2013. 05.

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

[96_RE11]LMOs(......).HWP

[96_RE11]LMOs(......).HWP - i - - ii - - iii - - iv - - v - - vi - - vii - 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54

More information

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770>

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770> 연습문제해답 5 4 3 2 1 0 함수의반환값 =15 5 4 3 2 1 0 함수의반환값 =95 10 7 4 1-2 함수의반환값 =3 1 2 3 4 5 연습문제해답 1. C 언어에서의배열에대하여다음중맞는것은? (1) 3차원이상의배열은불가능하다. (2) 배열의이름은포인터와같은역할을한다. (3) 배열의인덱스는 1에서부터시작한다. (4) 선언한다음, 실행도중에배열의크기를변경하는것이가능하다.

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D> 리눅스 오류처리하기 2007. 11. 28 안효창 라이브러리함수의오류번호얻기 errno 변수기능오류번호를저장한다. 기본형 extern int errno; 헤더파일 라이브러리함수호출에실패했을때함수예 정수값을반환하는함수 -1 반환 open 함수 포인터를반환하는함수 NULL 반환 fopen 함수 2 유닉스 / 리눅스 라이브러리함수의오류번호얻기 19-1

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

<4D6963726F736F667420576F7264202D20B4EBBFF5203230303520BFB5BEF7BAB8B0EDBCAD2E646F63>

<4D6963726F736F667420576F7264202D20B4EBBFF5203230303520BFB5BEF7BAB8B0EDBCAD2E646F63> 第 46 期 定 期 柱 主 總 會 日 時 : 2006. 5. 26 午 前 11 時 場 所 : 大 熊 本 社 講 堂 株 式 會 社 大 熊 회 순 Ⅰ. 개회선언 Ⅱ. 국민의례 Ⅲ. 출석주주 및 출석주식수 보고 Ⅳ. 의장인사 Ⅴ. 회의의 목적사항 1. 보고사항 감사의 감사보고 영업보고 외부감사인 선임 보고 2. 의결사항 제1호 의안 : 제4기 대차대조표, 손익계산서

More information

013년도 전주ᆞ완주 탄소산업 : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) ~ ~ 1. 최종 사업목표 및 내용 탄소 관련산업동향과 국가정책에 부응하여 탄소산업 중심의 테마형 집적화단지(Carbon Valley) 조성을 위한 탄소기업 유치 및 육성, 산업기반의 구축 등에 관한 전략적 접근이 필요함

More information

- i - - ii - - iii - - iv - - v - - vi - - vii - - viii - - ix - - x - - xi - - xii - - xiii - - xiv - - xv - - xvi - - xvii - - xviii - - xix - - xx - - xxi - - xxii - - xxiii - - xxiv - - xxv - - I

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

PRO1_01E [읽기 전용]

PRO1_01E [읽기 전용] PCS 7 Software NET PC WinCC HMI DP Controller Siemens AG 1999 All rights reserved File: PRO1_01E1 2 S7-200 3 S7-200: 4 S7-200: CPU 5 S7-300 6 S7-300: 7 S7-300: CPU 8 S7-400 9 S7-400: 10 S7-400: CPU (1)

More information

<BCADBFEFBDC3BFA9BCBAB0A1C1B7C0E7B4DC5FBCADBFEFBDC320B0F8B5BFC0B0BEC6C1F6BFF8BBE7BEF7C0C720C1F6BCD3B0A1B4C9BCBA20B9E6BEC8BFACB1B828BCF6C1A431323330292E687770>

<BCADBFEFBDC3BFA9BCBAB0A1C1B7C0E7B4DC5FBCADBFEFBDC320B0F8B5BFC0B0BEC6C1F6BFF8BBE7BEF7C0C720C1F6BCD3B0A1B4C9BCBA20B9E6BEC8BFACB1B828BCF6C1A431323330292E687770> 2015 정책연구-15 서울시 공동육아지원사업의 지속가능성 방안연구 책임연구원 : 조막래(서울시여성가족재단 가족정책실 연구위원) 위촉연구원 : 김한별(서울시여성가족재단 가족정책실) SEOUL FOUNDATION OF WOMEN & FAMILY 발 간 사 한 아이를 키우려면 온 마을이 필요하다 라는 인디언 격언이 있습니다. 십수년전에는 골목마다 아이들의 북적이는

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

i ii iii iv v vi vii viii 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 XXXXXXXX 22 24 25 26 27 28 29 30 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61

More information

H3250_Wi-Fi_E.book

H3250_Wi-Fi_E.book 무선 LAN 기능으로 할 수 있는 것 2 무선 LAN 기능으로 할 수 있는 것 z q l D w 3 Wi-Fi 기능 플로우차트 z q l D 4 Wi-Fi 기능 플로우차트 w 5 본 사용 설명서의 기호 설명 6 각 장별 목차 1 2 3 4 5 6 7 8 9 10 11 12 13 14 7 목차 1 2 3 4 8 목차 5 6 7 8 9 9 목차 10 11 12

More information

0

0 Kr 0 i 1 http://downloadcenter.nikonimglib.com/ 2 A 0 ii D A 0 iii 0 0 0 0 0 iv A http://downloadcenter.nikonimglib.com/ http://downloadcenter.nikonimglib.com/ v vi i i vii P S A M M viii ix R i i x D

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

À̶õ°³È²³»Áö.PDF

À̶õ°³È²³»Áö.PDF Islamic Republic of Iran I I S L A M I C R E P U B L I C O F I R A N 10 Part I 11 I S L A M I C R E P U B L I C O F I R A N 12 Part I 13 I S L A M I C R E P U B L I C O F I R A N 14 II I S L A M I C R

More information

Microsoft PowerPoint - a10.ppt [호환 모드]

Microsoft PowerPoint - a10.ppt [호환 모드] Structure Chapter 10: Structures t and Macros Structure 관련된변수들의그룹으로이루어진자료구조 template, pattern field structure를구성하는변수 (cf) C언어의 struct 프로그램의 structure 접근 entire structure 또는 individual fields Structure는

More information

Microsoft PowerPoint - chap10-함수의활용.pptx

Microsoft PowerPoint - chap10-함수의활용.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 중 값에 의한 전달 방법과

More information

<32303132B3E220C7CFB9DDB1E2BACEC5CD20C0CCB7B8B0D420B4DEB6F3C1FDB4CFB4D928706466292E687770>

<32303132B3E220C7CFB9DDB1E2BACEC5CD20C0CCB7B8B0D420B4DEB6F3C1FDB4CFB4D928706466292E687770> 법령 개정 등이 필요한 제도개선 사항은 사정에 따라 시행일자 등의 변경이 있을 수 있습니다 목 록 i 목 록 분야별 달라지는 주요 제도 1. 세 제 3 1세대 1주택 비과세 보유기간 요건 단축 /3 일시적 2주택자의 대체취득기간 연장 /4 부가가치세 과세 전환 /5 면세유 공급대상 농업기계 확대 /6 국세-관세 간 과세가격 조정제도 신설/7 비거주자 외국법인에

More information

<32303131B3E22032BAD0B1E220C4DCC5D9C3F7BBEABEF7B5BFC7E2BAD0BCAEBAB8B0EDBCAD28C3D6C1BE292E687770>

<32303131B3E22032BAD0B1E220C4DCC5D9C3F7BBEABEF7B5BFC7E2BAD0BCAEBAB8B0EDBCAD28C3D6C1BE292E687770> 2011년 2분기 콘텐츠산업 동향분석보고서 2011. 09 요 약 ⅰ Ⅱ. 2011년 2분기 콘텐츠업체 실태조사 분석 Ⅰ. 2011년 2분기 콘텐츠산업 추이 분석 1. 산업생산 변화 추이 3 1.1. 콘텐츠산업생산지수 변화 추이 3 1.2. 콘텐츠업체(상장사) 매출액 변화 추이 9 1.3. 콘텐츠업체(상장사) 영업이익 변화 추이20 2. 투자변화 추이 24

More information

PRO1_20E [읽기 전용]

PRO1_20E [읽기 전용] SIMATIC NET SIMATIC HMI SIMATIC M7 SIMATIC S5 PCS7, IT, NC File: PRO1_20E.1 Information and SIMATIC SIMATIC S5 SIMATIC S -200 /M7 SIMATIC NET SIMATIC WinCC PLC 1 60 200 SIEMENS A&D File: PRO1_20E.2 Information

More information

User's Guide

User's Guide KOR < > 1. ( ) : Laser Beam Printer (LBP3000) 2. : CANON INC. 3. / : CANON ZHONGSHAN BUSINESS MACHINESCO. LTD No.26,Huoju Dadao,Concentrated-constructed Quarter, Zhongshan Torch Hi-Tech Industrial Development

More information

C++ Programming

C++ Programming C++ Programming 예외처리 Seo, Doo-okok clickseo@gmail.com http://www.clickseo.com 목 차 예외처리 2 예외처리 예외처리 C++ 의예외처리 예외클래스와객체 3 예외처리 예외를처리하지않는프로그램 int main() int a, b; cout > a >> b; cout

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

온라인 IT 교육최강 ( 강의정보처리필기강사조대호 차시명 [CA-06 강 ] 프로세서와명령어차시 6 차시 학습내용 프로세서와명령어 학습목표 컴퓨터의구조와프로세서에대해이해할수있다 컴퓨터의명령어에대해이해할수있다 학습내용 1. 컴퓨터의구성 - 1

온라인 IT 교육최강 (  강의정보처리필기강사조대호 차시명 [CA-06 강 ] 프로세서와명령어차시 6 차시 학습내용 프로세서와명령어 학습목표 컴퓨터의구조와프로세서에대해이해할수있다 컴퓨터의명령어에대해이해할수있다 학습내용 1. 컴퓨터의구성 - 1 강의정보처리필기강사조대호 차시명 [CA-06 강 ] 프로세서와명령어차시 6 차시 학습내용 프로세서와명령어 학습목표 컴퓨터의구조와프로세서에대해이해할수있다 컴퓨터의명령어에대해이해할수있다 학습내용 1. 컴퓨터의구성 - 1 - 2. 중앙처리장치 (Central Processing Unit) 주기억장치에기억된명령을꺼내서해독하고, 시스템전체에지시신호를내는장치명령코드가명령을수행할수있게필요한제어기능을제공제어장치의구성

More information

제11장 프로세스와 쓰레드

제11장 프로세스와 쓰레드 제9장자바쓰레드 9.1 Thread 기초 (1/5) 프로그램 명령어들의연속 (a sequence of instruction) 프로세스 / Thread 실행중인프로그램 (program in execution) 프로세스생성과실행을위한함수들 자바 Thread 2 9.1 Thread 기초 (2/5) 프로세스단위작업의문제점 프로세스생성시오버헤드 컨텍스트스위치오버헤드

More information

PowerPoint Presentation

PowerPoint Presentation 객체지향프로그래밍 인터페이스, 람다식, 패키지 ( 실습 ) 손시운 ssw5176@kangwon.ac.kr 예제 1. 홈네트워킹 public interface RemoteControl { public void turnon(); // 가전제품을켠다. public void turnoff(); // 가전제품을끈다. 인터페이스를구현 public class Television

More information

Status Bit-Dependent Instructions

Status Bit-Dependent Instructions 상태비트의존적인인스트럭션 File: PRO2_01e.1 Information and 목차 페이지 S7-CPU의레지스터및메모리영역... 2 상태워드구조.... 3 상태비트검사..... 4 상태비트인스트럭션........ 5 블록호출또는복잡한함수에서의 BR 비트및 ENO... 6 상태비트에의존적인점프함수... 7 조건코드에의존적인점프함수..... 8 점프분산자의프로그래밍...

More information

<28BCF6BDC320323031352D31332920B0E6B1E2B5B520C1F6BFAABAB020BFA9BCBAC0CFC0DAB8AE20C1A4C3A520C3DFC1F8C0FCB7AB5FC3D6C1BE2830312E3036292E687770>

<28BCF6BDC320323031352D31332920B0E6B1E2B5B520C1F6BFAABAB020BFA9BCBAC0CFC0DAB8AE20C1A4C3A520C3DFC1F8C0FCB7AB5FC3D6C1BE2830312E3036292E687770> 수시과제 2015-13 경기도 지역별 여성일자리 정책 추진 전략 연구책임자 : 최 윤 선 (본원선임연구위원) : 남 승 연 (본원연구위원) 연 구 지 원 : 이 상 아 (본원위촉연구원) 연 구 기 간 : 2015. 9 ~12 2015 발 간 사 여성 일자리는 사회 내 여성과 남성간의 차이를 좁히고 개개인의 삶을 윤택하게 만드는 중요 한 부분입니다. 이에 정부는

More information

CFC_샘플

CFC_샘플 3 CFC Editor 를사용한작업 개요 이장에서는 CFC Editor 를사용하여 CPU 에대한전체소프트웨어구조를구성하는방법을소개한다. 필요사항 SIMATIC Manager 를사용하여, 특정 AS 에대해 Chart 폴더를포함한프로그램폴더를갖는 Project 를만들었다.( 예, S7 program for SIMATIC S7) 주목 : CFC 는 " 상향호환성

More information

1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과

1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과 1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과 학습내용 1. Java Development Kit(JDK) 2. Java API 3. 자바프로그래밍개발도구 (Eclipse) 4. 자바프로그래밍기초 2 자바를사용하려면무엇이필요한가? 자바프로그래밍개발도구 JDK (Java Development Kit) 다운로드위치 : http://www.oracle.com/technetwork/java/javas

More information

Microsoft PowerPoint - CSharp-10-예외처리

Microsoft PowerPoint - CSharp-10-예외처리 10 장. 예외처리 예외처리개념 예외처리구문 사용자정의예외클래스와예외전파 순천향대학교컴퓨터학부이상정 1 예외처리개념 순천향대학교컴퓨터학부이상정 2 예외처리 오류 컴파일타임오류 (Compile-Time Error) 구문오류이기때문에컴파일러의구문오류메시지에의해쉽게교정 런타임오류 (Run-Time Error) 디버깅의절차를거치지않으면잡기어려운심각한오류 시스템에심각한문제를줄수도있다.

More information