반도체산업 2017 세미콘차이나참관기 : 위기와기회 대외여건악화로중국은해외업체 M&A 대신자체팹 (Fab) 건설에집 중. 이에기술축적하는데시간필요하므로메모리시장진출지연예상. 한편중국파운드리및후공정업체는피인수기업기술기반확대전망 세미콘차이나중국측참여업체파운드리 / 후공정중

Size: px
Start display at page:

Download "반도체산업 2017 세미콘차이나참관기 : 위기와기회 대외여건악화로중국은해외업체 M&A 대신자체팹 (Fab) 건설에집 중. 이에기술축적하는데시간필요하므로메모리시장진출지연예상. 한편중국파운드리및후공정업체는피인수기업기술기반확대전망 세미콘차이나중국측참여업체파운드리 / 후공정중"

Transcription

1 반도체산업 217 세미콘차이나참관기 : 위기와기회 대외여건악화로중국은해외업체 M&A 대신자체팹 (Fab) 건설에집 중. 이에기술축적하는데시간필요하므로메모리시장진출지연예상. 한편중국파운드리및후공정업체는피인수기업기술기반확대전망 세미콘차이나중국측참여업체파운드리 / 후공정중심 중국측참여업체는파운드리및반도체후공정업체인 OSAT( 외주반도체패키지테스트 ) 가중심으로참여. 해외참여업체는반도체장비 / 소재업체가중심 Positive ( 유지 ) Industry Report PER( 배 ) PBR( 배 ) KOSPI Sector Sector Index KOSPI 반도체 15 시사점 : 자체팹건설에집중 + DRAM 진출지연 + 장비 / 소재시장확대 시사점 1: 중국은 M&A 보다는자체팹건설에집중할전망. 최근트럼프집권영향등으로 M&A 추진에어려움예상. 따라서중앙정부는기술이전이어려운 DRAM 사업대신 NAND 사업에집중할전망 시사점 2: 중국 DRAM 진출은장기화예상. DRAM 산업은중앙정부가아닌지방정부에서추진하고있어 DRAM 산업진출장기화전망. 또한 DRAM 산업이과점화되어있는상황에서경영상의문제로매각될회사는없어중국은자체적으로 DRAM 기술개발필요 시사점 3: 반도체장비 / 소재시장확대전망. 중국반도체팹건설로장비 / 소재업체들의수혜예상. 중국은낮은기술수준을극복하고공정변경점최소화하기위해글로벌장비및소재사용늘릴전망. 중국업체의경우글로벌 M/S 가높은업체와후공정업체중심으로수혜예상 Top Picks: 삼성전자, SK 하이닉스, AMAT, SUMCO, 한미반도체 국내주식 : 삼성전자, SK 하이닉스, 한미반도체, 피에스케이 (Asher), 고영 (3D 검사 ), 케이씨텍 (CMP), 동진쎄미켐 ( 소재 ), 한솔케미칼 ( 소재 ), 에스에프에이 해외주식 : Applied Materials(CVD), LAM Research(Etch), Amkor(OSAT), SUMCO(Wafer), SMIC(Foundry), 장전테크놀러지 (OSAT) '16.3 '16.6 '16.9 '16.12 '17.3 업종시가총액 375,99 십억원 (Market 비중 23.5%) 주 : KRX 업종분류기준 Analyst 이세철 2) , peter.lee@nhqv.com Analyst 장재영 2) , jonathan.jang@nhqv.com Analyst 고정우 2) , j.ko@nhqv.com Analyst 황유식 2) , ys.hwang@nhqv.com Analyst 한위 2) , weihan@nhqv.com Analyst 김보근 2) , kevin.kim@nhqv.com RA 서준현 2) , joon.seo@nhqv.com 반도체업종투자의견 / 투자지표 ( 단위 : 원, 배, %, 십억원 ) 코드 투자의견 목표주가 (12M) 현재가 PER PBR ROE 순차입금 217E 218F 217E 218F 217E 218F 217E 218F 삼성전자 593.KS Buy( 유지 ) 2,6,( 유지 ) 2,95, , ,72 SK 하이닉스 66.KS Buy( 유지 ) 68,( 유지 ) 47, ,88-12,779 한미반도체 427.KS Not Rated - 16, 피에스케이 3198.KQ Not Rated - 12, 케이씨텍 2946.KS Not Rated - 13, 동진쎄미켐 529.KQ Not Rated - 8, 주 : 3 월 2 일종가기준 ; 자료 : NH 투자증권리서치본부전망

2 CONTENTS I. Prologue: 중국반도체굴기... II. 중국반도체현황 중국반도체산업개요 2. 중국반도체 M&A 현황 3. 중국반도체투자현황 4. 중국디스플레이산업현황 7 8 III. 세미콘차이나참관내용 반도체제조업체및장비업체참관 2. 반도체소재업체참관 3. 중국반도체포럼참관 21 IV. 시사점 : 자체팹건설 + NAND에집중 + 장비 / 소재시장확대 중국은 M&A보다는자체팹건설에집중할전망 2. 중국 DRAM 진출은장기화예상 3. 반도체장비 / 소재시장확대전망 34 V. Epilogue: 중국반도체진출은위기이지만기회... [ 기업분석 ]] 삼성전자 [Buy, 2,6, 원 ]... SK하이닉스 [Buy, 68,원 ]... 한미반도체 [N/R]... 피에스케이 [N/R]... 케이씨텍 [N/R]... 동진쎄미켐 [N/R]... 한솔케미칼 [Buy, 12,원 ]... 에스에프에이 [Buy, 9,원 ]... Applied Materials [ 해외기업, N/R]... LAM Research [ 해외기업, N/R]... Amkor Technology [ 해외기업, N/R]... SUMCO [ 해외기업, N/R]... SMIC [ 해외기업, N/R] 장전테크놀로지 [ 해외기업, N/R]

3 반도체산업 Key Charts 그림 1. 반도체산업투자기금 의투자계획 그림 2. 중국 XMC NOR Flash 메모리 ( 억위안 ) 반도체산업투자기금투자금액 ( 좌 ) 투자비중 ( 우 ) 36 3.% % 2.% 16.7% % % '14 '15 '16 '17 '18 '19 35% 3% 25% 2% 15% 1% 5% % 자료 : 중국공신부 ( 工信部 ), NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 3. 시사점 1- 중국은 M&A 보다자체팹건설에집중할전망 그림 4. 시사점 2- 중국 DRAM 진출은장기화예상 허페이 ( 합비 ): 합비장흠 우한 ( 무한 ): 창장메모리 진장 ( 진강 ): 진화 IC 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 5. 시사점 3- 반도체장비 / 소재시장확대전망 그림 6. 결론 - 중국반도체진출은위기이지만기회 자료 : NH 투자증권리서치본부 자료 : NH 투자증권리서치본부 3

4 반도체산업 Executive Summary Prologue: 중국반도체굴기중국반도체산업은 214년 반도체산업발전추진강요 발표이후본격적으로성장하기시작하였다. 반도체산업발전추진강요 에따라 22년까지반도체매출액연평균 2% 성장을목표로정부의적극적인지원정책이진행되고있다. 이에힘입어중국은 214 년부터기술내재화를위해해외 M&A 를적극적으로진 행하였는데, 이후미국정부와마찰을빚으면서 M&A 는한풀꺾인모습이다. 세미콘차이나 217 참관내용 중국측참여업체주로 후공정및파운드리 중심 중국에서는주로반도체후공정업체인 OSAT를중심으로참여를하였다. 대표적인회사로 STATS ChipPAC을인수하였던장전테크놀러지가눈에띄었으며, 파운드리업체로는 SMIC가 28nm(1nm=1억분의 1m) 기술을전시하였다. 메모리업체는거의눈에띄지않았으며, XMC 정도가 NOR Flash 기술을선보였다. 해외참여업체반도체 장비 / 소재중심 반도체장비업체는글로벌장비업체중심으로참여했으며, 중국꽌시 ( 관계 ) 특성 상에이전시회사들도다수참여하였다. 국내업체의경우는한미반도체와같은후 공정장비업체들이중심이었고, 전공정업체로는원익, 케이씨텍등이참여하였다. 반도체소재업체는장비업체보다상대적으로많지않았다. 중국반도체소재업체들은주로 Wafer제품을선보였는데, 12인치 Wafer는기술난이도문제로아직생산하지못하는모습이었다. 한국업체로는원익머트리얼즈, 케이씨텍, SKC솔믹스등다양한소재업체들이제품을전시하였다. 그림 7. 세미콘차이나 217 그림 8. 중국 3D NAND 업체창장메모리 (YMTC) 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : YMTC, NH 투자증권리서치본부 4

5 반도체산업 시사점 : M&A 보다는자체팹건설 + DRAM 진출장기화 + 장비 / 소재시장확대 세가지시사점 첫번째시사점은최근트럼프집권영향등으로중국의해외반도체 M&A 흐름이많이꺾였다는점이다. 이로인해중국의메모리사업은시장예상보다지연될것으로판단된다. 중국중앙정부는기술이전이어려운 DRAM 사업대신 NAND 사업에집중할전망이다. 두번째시사점으로 DRAM 산업은중앙정부보다는지방정부에서추진하고있어 DRAM 산업진출은장기화될전망이다. 또한 DRAM산업이과점화되어있는상황에서경영상의문제로매각될회사는없어중국은 DRAM 산업진출에어려움을겪고있다. DRAM 3개업체가무리하게경쟁할필요가없다보니기술이전이쉽지않기때문이다. 세번째시사점으로중국반도체팹건설로장비소재업체들의수혜가예상된다. 중국은낮은기술수준을극복하고공정변경점최소화하기위해글로벌장비및소재사용을늘릴전망이다. 국내업체의경우글로벌 M/S가높은업체와후공정업체중심으로수혜가예상된다. 또한중국에디스플레이장비를공급하는회사들도기존중국업체와의거래가있기때문에중국반도체본격화시수혜가예상된다 Epilouge: 중국반도체진출은위기이지만기회 위기와기회 중국의반도체산업진출은한국반도체산업에있어위기이면서도기회이다. 중국정부의반도체산업육성의지는분명우리기업들에게위협요소가되기때문이다. 하지만축적의시간이필요한메모리공정기술의난이도를감안시중국이해외업체 M&A 없이단시일내기술을습득하기는불가능할것으로판단된다. 따라서한국반도체업계가방심하지않고, 끊임없는제품혁신과기술개발에매진한다면후세에도남겨줄수있는마지막산업이될수있을것이다. 그림 9. 시사점및수혜업체 자료 : NH 투자증권리서치본부 5

6 반도체산업 Peer group Valuation Table 표 1. 삼성전자 Peer group Valuation Table 시가총액 ( 백만 USD) EV/EBITDA PER PBR ROE(%) 217E 218F 217E 218F 217E 218F 217E 218F 삼성전자 267, 인텔 167, 마이크론 28, 도시바 7, TSMC 166, 애플 742, HTC 2, LG전자 9, 소니 4, 주 : 3 월 2 일종가기준자료 : Bloomberg, NH 투자증권리서치본부 표 2. SK 하이닉스 Peer group Valuation Table 시가총액 ( 백만 USD) EV/EBITDA PER PBR ROE(%) 217E 218F 217E 218F 217E 218F 217E 218F SK하이닉스 3, 도시바 7, 마이크론 28, 난야 4, 주 : 3 월 2 일종가기준자료 : Bloomberg, NH 투자증권리서치본부 표 3. 반도체장비 Peer group Valuation Table 시가총액 ( 백만 USD) EV/EBITDA PER PBR ROE(%) 217E 218F 217E 218F 217E 218F 217E 218F AMAT 42, LAM Research 2, TEL 17, 원익 IPS 테스 ASML 56, 주 : 3 월 2 일종가기준자료 : Bloomberg, NH 투자증권리서치본부 표 4. 반도체소재 Peer group Valuation Table 시가총액 ( 백만 USD) EV/EBITDA PER PBR ROE(%) 217E 218F 217E 218F 217E 218F 217E 218F SUMCO 4, Dow Chemical 78, SK머티리얼즈 1, 솔브레인 원익머트리얼즈 한솔케미칼 주 : 3 월 2 일종가기준자료 : Bloomberg, NH 투자증권리서치본부 6

7 반도체산업 I. Prologue: 중국반도체굴기 중국의반도체굴기가시작되고있다. 당사는중국의반도체산업동향을파악하기위해 3월 13일부터 4일간세미콘차이나 217을참관하고, 관련기업들을탐방하였다. 중국반도체산업은 214 년 반도체산업발전추진강요 발표이후본격적으로성 장하기시작하였다. 반도체산업발전추진강요 에따라 22 년까지반도체매출 액연평균 2% 성장을목표로정부의적극적인지원정책이진행되고있다. 이에힘입어중국은 214 년부터기술내재화를위해해외 M&A 를적극적으로진 행하였는데, 이후미국정부와마찰을빚으면서 M&A 는한풀꺾인모습이다. 당사는세미콘차이나 217 참관을통해중국반도체상황을업데이트하고자한다. 또한향후중국반도체산업과중국정부정책이국내반도체업체들에미치는영향을분석하고추가로중국반도체시장변화에따른반도체밸류체인영향도점검하고자한다. 그림 세미콘차이나전경 자료 : 세미콘차이나 217, NH 투자증권리서치본부 7

8 반도체산업 II. 중국반도체현황 1. 중국반도체산업개요 중국반도체매출 성장률글로벌보다 높아 216 년중국반도체매출액은전년대비 2.1% 증가한 4,336 억위안을달성하였고, 22~ 216 년중국반도체매출액연평균성장률은 22.% 로같은기간글로벌반 도체매출액연평균성장률 6.4% 를상회하였다. 중국반도체, 정부 정책에힘입어 지속적인성장기대 중국정부는지난 214년 6월 반도체산업발전추진강요 정책을발표하였다. 정책에서는 22년까지중국로컬반도체업체와글로벌선진기술업체간격차를축소하며, 매출액연평균성장률 2% 달성한다는목표를제시하였다. 214년 9월중국정부는 국가반도체산업투자펀드 를설립하였고, 이를통해적극지원하고있어, 중국반도체산업의성장세가이어질것으로예상된다. 그림 ~26 중국반도체매출액연평균성장률 22.% 그림 12. 2~ 16 글로벌반도체매출액연평균성장률 6.4% ( 억위안 ) 중국반도체매출액 ( 좌 ) (% y-y) 4, 6 증가율 ( 우 ) ( 억달러 ) (% y-y) 글로벌반도체매출액 ( 좌 ) 4, 6 증가율 ( 우 ) 3, 4 3, 4 2, 2 2, 2 1, 1, '2 '3 '4 '5 '6 '7 '8 '9 '1 '11 '12 '13 '14 '15 '16-2 '2 '3 '4 '5 '6 '7 '8 '9 '1 '11 '12 '13 '14 '15 '16-2 자료 : 중국반도체산업협회 (CSIA), NH 투자증권리서치본부 자료 : 세계반도체무역통계기구 (WSTS), NH 투자증권리서치본부 그림 13. 반도체산업발전추진강요 에서제시된로드맵 22 년매출액 : CAGR 2% 이상설계 : 글로벌리딩수준제조 : 16/14nm 대량생산후공정 : 글로벌리딩수준설비재료 : 국제공급망시스템진입 215 년매출액 : 3,5 억위안설계 : 세계일류수준근접제조 : 32/28nm 대량생산후공정 : 중 / 고급비중 3% 설비재료 : 65~ 45nm 설비, 12 인치웨이퍼 23 년핵심공정국제선진수준에도달, 일부기업 1-tier 기업군에진입 자료 : 중국공신부 ( 工信部 ), NH 투자증권리서치본부 8

9 반도체산업 중국, IT산업성장에힘입어글로벌최대반도체소비국으로성장 중국이글로벌최대반도체소비국으로성장할수있었던이유중하나는글로벌 IT 대국으로성장한데있다. 215년중국의 IT산업매출액은 15.5조위안으로, 글로벌 1위를차지하였다. 215년중국주요 IT 제품별생산량을보면휴대폰 18억 1,만대 ( 스마트폰 14억대 ), 컴퓨터 3억1,4만대, TV 14억5,만대 ( 스마트TV 8억4,만대 ) 로주요 IT 및가전제품생산기지가중국내에위치하고있으며, 이는중국이글로벌최대반도체소비국으로부상할수있는근간이되었다. 그림 년중국반도체전방산업비중 그림 년중국반도체전방산업성장률 공장자동화시스템, 11.5% 기타, 4.6% 자동차 (%) 전장, 4 3.1% 컴퓨터, 29.7% 전자제품, 21.8% 통신네트워크, 29.3% -1-2 공장자동화시스템 자동차전장 통신네트워크 전자제품 -8.3 컴퓨터 자료 : 산업자료, NH 투자증권리서치본부 자료 : 산업자료, NH 투자증권리서치본부 중국반도체대외 수입의존도높아 215 년중국반도체수입액은 2,37 억달러로수입항목중 1 위이며, 수입액이 2~ 4 번째로많은원유, 철광석, 곡물의총금액인 2,388 억달러와비슷한규모이다. 215년중국은글로벌반도체수요중 29% 를차지한반면공급비중은 4% 밖에되지않는다. 반도체수입금액이크고, 자체공급비중이낮다는점은향후중국의반도체기술이향상될경우국산화가진행되고이것이수입을대체할수있다는뜻이다. 이는중국정부가반도체산업을전략적으로육성하는이유이기도하다. 그림 년글로벌반도체공급 / 수요비중 그림 17. 중국반도체수출입금액추이 6% 5% 4% 3% 2% 1% % 공급비중 5% 수요비중 29% 21% 17% 2% 8% 11% 9% 9% 1% 6% 3% 4% 3% 미국 한국 일본 EU 대만 중국 기타 ( 억달러 ) 중국반도체수입액 ( 좌 ) ( 억달러 ) 4, 중국반도체수출액 ( 좌 ) 2, 중국반도체순수입액 ( 우 ) 3, 1,5 2, 1, 1, 5 '8 '9 '1 '11 '12 '13 '14 '15 자료 : 중국전자정보산업통계연감, NH 투자증권리서치본부 자료 : SIA, WSTS, NH 투자증권리서치본부 9

10 반도체산업 중국, 다양한반도체 육성정책발표 반도체는장기간에걸쳐대규모투자가필요하다는점에서정부의적극적지원이 필요한산업이다. 2 년부터중국정부는반도체관련세금감면, 개발전문자금 설립, 산업클러스터구축등과같은다양한반도체육성정책을발표하였다. 표 5. 중국정부가발표한 반도체산업 육성정책 연도 정책내용 2 년 국무원 소프트웨어산업및반도체산업발전을위한정책 ( 세금감면정책, 18 호문건 ) 24 년 반도체세금감면정책 (18 호문건 ) 취소 25 년 재정부, 발개위, 공신부공동으로 반도체산업연구및개발전문자금관리임시방법 발표 28 년 반도체산업 11 차 5 개년전문규획 통해북경, 천진, 상해, 소주, 녕파등에국가반도체산업클러스터조성 211 년 국무원, 소프트웨어산업및반도체산업발전을위한정책강화 ( 신 18 호문건 ) 발표 212 년 공신부, 반도체산업 13 차 5 개년발전규획 발표 213 년 반도체산업협회서소전이사장, 기존의 18 호문건을뛰어넘는새로운반도체육성산업조만간발표한다고언급 214 년 공신부 국가반도체산업발전추진강요 공식발표 215 년 중국제조 225 발표 216 년 중국고급반도체연맹설립 자료 : 언론종합, NH 투자증권리서치본부 중국반도체산업 클러스터형성 중국반도체산업은정부정책에힘입어장삼각주, 주삼각주, 환보해, 중서부에설계, 제조, 후공정으로이루어지는산업클러스터를형성하였다. 과거일본과한국의반도체산업성장과정에서반도체산업클러스터조성이산업성장에크게기여했던사실을기억해보면, 중국반도체산업클러스터조성은중장기성장의기반을마련할것이다. 현재는장삼각주의비중이가장크지만, 216년칭화유니그룹과 XMC가합병하였고, XMC 모회사인창장메모리 (YMTC, Yangtze Memory) 가 218 년부터 3D NAND 공장을정식가동할것으로계획되어있어, 향후중서부지역의비중이크게확대될것으로예상된다. 그림 년중국산업클러스터별매출액규모 그림 년중국산업클러스터별매출액비중 ( 억위안 ) 1,4 1,2 1,251 중서부 6.7% 1, 환보해 24.9% 장삼각주 41.5% 장삼각주주삼각주환보해중서부 주삼각주 26.9% 자료 : 중국반도체산업협회 (CSIA), NH 투자증권리서치본부 자료 : SEMI, CSIA, WSTS, NH 투자증권리서치본부 1

11 반도체산업 214 년 6 월후 뚜렷한변화나타나 중국반도체산업은 214년 6월전까지이렇다할성과를거두지못하였으나, 214 년 6월 반도체산업발전추진강요 발표후상황은완전히바뀌었다. 반도체산업발전추진강요 는 22년까지반도체매출액연평균 2% 성장이라는 양적인성장 외글로벌리딩수준기술도달이라는 질적인성장 을목표로제시하였고, 목표달성을위해정부는실질적인지원정책을발표하였다. 표 6. 국가반도체산업발전추진강요 주요지표및목표치 215 년 22 년 반도체매출액 3,5 억위안 8,7 억위안이상 ( 연평균성장률 2% 이상 ) 팹리스 ( 설계 ) 일부주요영역에서국제일류수준에근접 ( 스마트폰 AP, 네트워크통신 ) 파운드 ( 제조 ) 32/28nm 대규모생산 16/14nm 대규모생산 OSAT( 후공정 ) 총매출액중중 / 고급비중 3% 이상 글로벌리딩수준의기술 소재 12 인치웨이퍼생산라인에응용 국제구매시스템에진입 설비 65~ 45nm 핵심설비에응용 국제구매시스템에진입 자료 : 중국국무원, NH 투자증권리서치본부 주요영역에서글로벌리딩수준의기술확보 ( 스마트폰 AP, 네트워크통신, 클라우드컴퓨터, IOT, 빅데이터등 ) 반도체산업발전추진강요 발표후 반도체산업투자펀드 조성 214년 9월 국가반도체산업투자펀드 가조성되었고, 지방정부도연이어반도체펀드를설립하였다. 반도체는대규모장기투자를필요로하는산업으로, 214년이전에는기업자체적으로관련투자가진행되었을뿐이다. 특히민간자본특성상공격적이고장기적인투자가이뤄지기힘들어중국반도체산업은뚜렷한성과를나타내지못했다. 그림 2. 반도체산업추진강요 전중국반도체산업뚜렷한성과보이지못해 자료 : IC Insight, NH 투자증권리서치본부 11

12 반도체산업 중국정부 1,387억위안규모의 국가반도체산업투자펀드 설립 국가반도체산업투자펀드 설립초기에는국개금융 ( 国开金融 ), 차이나모바일, EtownCapital( 亦庄国投 ), 자광통신 ( 紫光通信 ), 화심투자 ( 华芯投资 ) 등 8개기관이발기주주로참여하였고, 이후무한경발투 ( 武汉经发投 ), 차이나텔레콤, 차이나유니콤, 중국전자 ( 中国电子 ), 대당전신 ( 大唐电信 ), 무악봉자본 ( 武岳峰资本 ), Cybernaut 등 7 개기관이증자에참여하면서보통주기준 987억위안을모집하였다. 또한 215년 1분기우선주발행을통해 4억위안을추가하며총 1,387억위안 (22조 8,5억원 ) 의자금을모집함으로써초기계획금액인 1,2억위안을넘겼다. 215~ 218년은중국반도체산업투자기금의핵심투자기간 국가반도체산업투자펀드 는국가반도체산업투자펀드주식회사가보유하고, 화심투자 ( 华芯投资 ) 가관리하며, 국가개발은행 ( 国家开发银行 ) 이위탁관리한다. 사모, 펀드, 메자닌투자주등발행시장투자와주식거래시장투자등을할수있으나벤처투자와엔젤투자등에는참여하지않는다. 국가반도체산업투자펀드 는투자기 (214~ 219년 ), 투자회수기 (219~ 224년 ), 확장기 (224~ 229년 ) 등총 15년으로구성된다. 215~ 218년 4년이핵심투자기간으로각각 2억위안, 24 억위안, 36억위안, 24억위안등전체투자기금의 87% 가투입될예정이다. 국가반도체산업투자펀드 규획에의하면모집자금중 6% 는자금적수요가가장큰제조부문에, 나머지 4% 는설계, 패키징및검사, 소재, 설비부문등에투자될계획이다. 215년말기준중국반도체산업펀드의분야별투자금액비중을보면반도체제조 45%, 설계 38%, 패키징및검사 11%, 장비및소재각각 3% 의비중을차지하고있다. 국가반도체산업투자펀드 는 216 년말까지총 35 개기업 43 개프로젝트투자에 참여하였다. 실질적으로투자한금액은 563 억위안으로모집금액의 41% 를차지하 고있으며, 나머지금액은향후계획된프로젝트에투자될것이다. 그림 21. 반도체산업투자기금 의투자계획 그림 년중국반도체펀드가투자한분야별비중 ( 억위안 ) 5 4 반도체산업투자기금투자금액 ( 좌 ) 투자비중 ( 우 ) 36 5% 4% 패키징및검사, 11% 장비, 3% 소재, 3% % 2.2% 24 2.% 3.% 24 2.% % 3% 2% 1% 설계, 38% 제조, 45% '14 '15 '16 '17 '18 '19 % 자료 : 중국공신부 ( 工信部 ), NH 투자증권리서치본부 자료 : 중국공신부 ( 工信部 ), NH 투자증권리서치본부 12

13 반도체산업 반도체산업투자기금 만으로반도체산업육성하기어려워 전세계반도체기업들은매년 6억달러의 CAPEX( 설비투자 ) 를지출하고있으며, 이중인텔, TSMC, 삼성등과같은대표반도체기업들은기업당매년 1억달러정도의 CAPEX를지출한다. 12인치 28nm 팹 (1,장/ 월 ) 건설비용이 1억달러인것을감안하면 국가반도체산업투자펀드 만으로중국반도체산업을육성하기에는자금규모가충분하지않다. 국가반도체산업투자기금 설립은자금유치를위한방안 중국정부의 국가반도체산업투자펀드 설립전 / 후로다수의지방정부및투자기관이자발적으로반도체기금을설립하였다. 이처럼중국정부의 국가반도체산업투자펀드 설립은중국의유휴자본을반도체산업으로유치하기위한하나의방안이며, 중국정부의의도대로막대한자본이중국반도체산업에유입되면서중국반도체산업성장의초석을다지는데크게기여하고있다. 표 7. 중앙및지방정부가설립한반도체산업투자펀드 지역설립일펀드이름규모 ( 억위안 ) 중앙정부 214/9 국가반도체산업투자펀드 1,387.2 강소성 216/12 남경시반도체산업전문발전펀드 6 복건성 216/2 복건성안심산업투자펀드 5 북경시 214/12 북경시반도체산업발전주식투자펀드 3 호북성 215/8 호복반도체산업투자펀드 3 섬서성 216/9 섬서성반도체산업투자펀드 3 강소성 217/1 무석시반도체산업투자펀드 2 복건성 216/3 하문국제자광연합발전펀드 16 광동성 216/5 광동성반도체산업투자펀드 15 상해시 214/11 상해시무악봉반도체정보산업펀드 ( 설계, 인수합병 ) 1 상해시 계획중 상해반도체산업투자펀드 ( 반도체장비및소재 ) 1 심천시 216/6 심천시반도체산업투자펀드 1 강소성 217/2 곤산해협양안반도체산업투자펀드 1 요녕성 216/5 요녕성반도체산업투자펀드 1 하북성 216/11 석가장시반도체산업투자펀드 1 호남성 216/3 호남국미반도체산업투자펀드 5 북경시 215/7 북경반도체해외평행펀드 2 강소성 215/7 남경포구구반도체산업투자펀드 1 심천시 215/7 심천시소프트웨어산업및반도체설계산업투자전문펀드 5 안휘성 214/11 합비시중흥합창반도체창업투자펀드 2.5 천진시 214/2 빈해반도체관련산업촉진전문펀드 2 총 자료 : 세미콘차이나 217, NH 투자증권리서치본부 4,856.7 억위안 13

14 반도체산업 2. 중국반도체 M&A 현황 글로벌반도체업계, 215~ 216 년 대규모인수합병발표 215년과 216년글로벌반도체업계에서는거액인수합병이빈번하게이루어졌다. 인수금액별로보면 216년퀄컴이 NXP를 39억달러에인수하면서업계사상최대금액을기록했다. 2위는 215년아바고가브로드컴을 37억달러인수한것이며, 3위는 216년소프트뱅크가 ARM을 32억달러에인수한것이다. 주요전방산업성장률 둔화커버하기위해 인수합병추진 지난 2년간스마트폰, 개인용컴퓨터및태블릿과같은주요전방산업의성장률둔화를커버하기위해반도체기업이적극적인인수합병을추진한것으로보인다. 특히차세대성장동력산업인사물인터넷 (IOT), 웨어러블, 자율주행과같은지능형임베디드시스템으로사업영역을확장하려던기업들이인수합병을주도하였다. 반도체비즈니스유형별로는팹리스업체와 IDM( 종합반도체업체 ) 이각각 45.%, 38.9% 로가장높았고, 반도체설계지적재산권 (IP) 공급자의비중은 15.9% 였다. 파운드리는.2% 에불과했다. 미국기업은 215년과 216년사이반도체인수합병에약 1,45억달러를지출하며글로벌인수합병금액비중 51.8% 로 1위를차지했다. 그림 23. 기존주요반도체응용시장성장률둔화 그림 24. 글로벌반도체업체인수합병금액급증 ( 억달러 ) 1,2 인수금액 1, '4 '5 '6 '7 '8 '9 '1 '11 '12 '13 '14 '15 '16 자료 : IC Insight, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 25. '15~ '16 반도체산업별인수합병금액비중 그림 26. '15~ '16 지역및국가별인수합병금액비중 파운드리.2% IP 15.9% IDM 38.9% 팹리스 45.% 일본 18.4% 아시아 - 태평양 23.% 유럽 6.8% 미국 51.8% 자료 : IC Insight, NH 투자증권리서치본부 자료 : IC Insight, NH 투자증권리서치본부 14

15 반도체산업 중국인수합병초기에는순풍, 마이크론인수실패후난관에봉착 중국반도체기업은중앙및지방정부의반도체산업투자펀드의적극적자금지원에힘입어 215~ 216년 2년간해외기업인수합병에 83억달러 ( 글로벌인수합병금액중 4.1%) 를지출하였다. 215년 1월, 중국반도체기업이미국나스닥에상장된글로벌이미지센서설계업체 Omnivision의지분 1% 를 19억달러에인수한다고발표하면서본격적인해외기업인수합병전이시작되었다. 215년 7월칭화유니그룹이마이크론을 23억달러에인수하겠다고발표하기전까지는대부분인수에성공하였는데, 마이크론인수와관련하여미국정부가적극적으로대응하면서상황이바뀌기시작했다. 표 8. 중국반도체관련기업인수에따른해외정부의반응 시간 내용 216년 2월 칭화유니그룹, 미국외국인투자심의위원회 (CFIUS) 가조사에착수한다는소식에 WD 인수포기 216년 3월 미국상무부 ZTE( 중흥통신 ) 에대해제재발표 216년 1월 독일정부, 중국자본기업의 Aixtron 인수승인철회. 언론에선이번승인철회가미국정보기관으로압력때문이라고보도함 216년 11월 미국상무부 Penny Pritzker 부장, 중국정부가주도하는반도체산업대규모투자는글로벌반도체시장큰변화를줄수있고, 산업의성장동력을파괴할수있다고경고 217년 1월 미국대통령과학기술자문회, 최신보고서를통해미국트럼프정부에게중국의반도체산업에대해제한해야한다고건의함 자료 : 세미콘차이나 217, 언론종합, NH 투자증권리서치본부 최근중국대표 반도체기업간합병 증가 칭화유니그룹의마이크론인수가미국의회의반대로무산되었고, 또한 WD지분인수를통한 Sandisk 인수안도좌절되는등중국자본의해외인수합병에대한각국정부와주요업체의견제가강화되었다. 이후중국자본의해외기업인수합병은 2nd-Tier 또는 3rd-Tier 기업위주로진행되고있는가운데, 중국정부가외환유출우려로외화관리를강화하고있어해외기업인수합병은더욱어려워진상황이다. 이러한외부환경의변화로 216년에는칭화유니그룹과 XMC가합병하였고, 217 년에는 SMIC가 3자배정유상증자로장전테크놀로지의최대주주로등극하는등중국기업내인수합병이적극적으로추진되고있다. 15

16 반도체산업 표 9. 중국로컬기업및자본의해외반도체관련기업 M&A 사례 시간인수기업피인수기업피인수기업속한산업금액진행 / 완료 213/4 IDG MaximIntegrated MEMS 센서 5 억 6,4 만위안 완료 213/12 칭화유니그룹 Spreadtrum Communications 스마트폰 AP 설계 17 억 8, 만달러 완료 214/7 칭화유니그룹 RDA Microelectronics RF 반도체설계 9 억달러 완료 214/11 포동과투 Montage Technology 아날로그및혼합신호반도체설계 6 억 9,3 만달러 완료 215/4 화천과기 (2185.SZ) FCI 패키징및테스트 4,2 만달러 완료 215/6 성세투자 Silex MEMS 제조 7 억 5, 만달러 완료 215/7 Apexmic(218.SZ) 미국 SCC 프린터소모품칩생산 6,397 만달러 완료 215/8 장전테크놀로지 (6584.SH) STATS ChipPac 패키징및테스트 7 억 8, 만달러 완료 215/1 동복미전 (2156.SZ) AMD 후공정공장지분 85% 패키징및테스트 3 억 7, 만달러 완료 215/11 건광자본 NXP RF Power 사업부 RF 증폭기설계 18 억달러 완료 216/6 건광자본 NXP 표준제품사업부 개별반도체, 논리칩 27 억 5, 만달러 완료 215/12 무악봉자본등 ISSI 메모리반도체설계 7 억 5, 만달러 완료 215/12 역장국투 Mattson 반도체장비 3 억달러 완료 216/1 화창자본등 OmniVision 이미지센서설계 19 억달러 완료 216/6 흘당집창 Exar 산하 iml 전원관리반도체 1 억 5, 만달러 완료 216/1 중국투자기관 Synaptics 센서및지문반도체 4 억달러 진행중 216/3 실리콘산업투자 Soitec 의지분 14.5% 실리콘웨이퍼 추후결정 진행중 216/4 실리콘산업투자 핀란드 Okmetic 실리콘웨이퍼 1 억 6,8 만유로 진행중 216/4 연창전자 (236.SZ) Meifas 지분매입 터치반도체 1,561 만달러 진행중 216/5 사유도신 (245.SZ) Muto Chips 자동차반도체설계 38 억 7,5 만달러 진행중 216/6 SMIC(981.HK) Lfoundry 지분 7% 파운드리 4,9 만유로 진행중 216/6 강소선과 UP Chemicals 화학전도체 11 억 8, 만달러 진행중 216/6 산해자본 Analogix 혼합신호반도체 5 억달러 진행중 216/6 역원정보 (3184.SZ) Powertek 반도체제품유통업체 26 억 3, 만달러 진행중 216/1 삼안광전 (673.SH) 오스람 LED 81 억달러 진행중 216/11 Canyon Bridge Lattice FPGA 설계 13 억달러 진행중 216/11 박강그룹 NBL 전자빔리소그래피설비 추후결정 진행중 217/3 거화영리등 Henkel 그룹의 EMC 사업부 에폭시몰딩컴파운드 추후결정 진행중 자료 : 세미콘차이나 217, NH 투자증권리서치본부 16

17 반도체산업 3. 중국반도체투자현황 내생적성장 에 집중하자는의견증가 중국반도체기업은해외및중국기업인수합병외에도자체적으로생산설비투자를확대하는데집중하고있다. 해외기업인수가점점쉽지않게되면서, 중국내에서는연구인력충원, 연구개발비용증대, 생산능력확대등과거보다내생적성장에포커스를두어야한다는의견이늘고있다. 중국, 12 인치반도체 Fab 16 개건설계획 중국반도체산업중, 투자규모측면에서가장눈에띄는것은파운드리부문이다. 중국로컬및해외독자, 합자기업은총 16개의 12인치반도체 Fab을건설할계획이며, 이중파운드리팹은 8개, 메모리생산라인은 7개이다. 총투자금액은 1,1 억달러이상으로, 예정된생산라인모두완공되면중국의 12인치반도체생산능력은 1,265K/ 월로증가, 중국자체반도체공급량이크게확대될것이다. 표 1. 중국의반도체생산라인투자계획 번호업체지역 투자규모 ( 억달러 ) 정식가동시점 생산계획 ( 장 / 월 ) 제품종류 1 인텔 대련 년 4k 3D NAND 2 정합 합비 년 4k 9nm 디스플레이구동 IC(DDIC) 3 TSMC 남경 년 2k 파운드리 16nm 4 창장메모리 (YMTC, XMC 모기업 ) 무한 년 3k 3D NAND 5 덕과마 ( 德科玛 ) 회안 년 2k CIS 6 진화반도체 천주 년 3k DRAM 7 SMIC 북경 년 35k 파운드리 45~ 28nm 8 SMIC 상해 년 7k 파운드리 14~ 1nm 9 SMIC 심천 년 4k 파운드리 55nm 1 화력반도체 ( 화홍반도체자회사 ) 상해 년 4k 파운드리 28~ 14nm 11 장흠 합비 년 12k DRAM 12 SK 하이닉스 무석 36-65k 3D NAND 13 Global Foundries 성도 년 65k 파운드리 22nm FD-SOI 14 칭화유니그룹 심천 DRAM 15 칭화유니그룹 남경 3-3k 3D NAND, DRAM 16 칭화유니그룹 성도 파운드리 자료 : 세미콘차이나 217, NH 투자증권리서치본부 17

18 반도체산업 4. 중국디스플레이산업현황 1 세대 LCD 투자 계획연이어발표 한편중국디스플레이산업은현재해외패널업체들이시장을주도하고있는가운 데중국패널업체들은연구개발에몰두하고있다. 따라서중국패널업체들이해외 선두업체들과경쟁할능력을갖추는것은시간문제라고판단된다. 대형 LCD: 우선 LCD 부문에서는중국패널업체들의시장지위가크게강화된것으로판단한다. 일례로중국패널업체인 BOE는 LCD 부문내 LG디스플레이에이어글로벌 2 위 LCD 패널업체가되었다 (9인치이상패널출하 / 216년기준 ). 집중적인기술투자, 핵심설비확보등끈질긴경쟁력강화노력에힘입어새로운강자로부상한것이다. LCD 부문에서의생존여부를가늠하기위해서는경쟁력의핵심이무엇인가고찰해볼필요가있다. LCD는메모리와달리기술격차가 1년내외수준에불과하다고판단한다. 기술격차가크지않은만큼업체별경쟁력을결정짓는핵심요인은생산규모이다. 누가대규모설비를효율적으로운영하느냐에따라서생산성이결정되기때문이다. 중국패널업체들은 LCD 8세대투자및양산에성공함으로써 LCD 경쟁력을이미확보한상황이다. 최근에는 LCD 1세대신규시설투자계획도연이어발표하고있다. 1세대 LCD 투자를통해신규시장개척하고고객기반강화하는등중국패널업체들의활약이두드러질것으로전망한다. 표 11. 글로벌 1 세대이상 LCD 생산시설투자전망 업체지역생산능력 (K/ 월 ) BOE China, Hefei 12 PO Install MP CSOT China, Shenzen 14 PO Install MP Foxconn China, Guangzhou 9 PO Install MP HKC China, Kunming 9 PO Install MP CEC-Panda China, TBD 9 PO Install MP Foxconn America, TBD 9 PO Install MP Foxconn India, TBD 9 PO Install Foxconn China, TBD 9 PO 주 : PO 는장비발주, Install 은장비반입및설치, MP 는양산자료 : IHS, NH 투자증권리서치본부 18

19 반도체산업 그림 27. 중국 LCD 1 세대생산시설위치 BOE G1 Hefei HKC G8.6 Chongqing Under Construction Planning Foxconn G1 Guangzhou HKC G1 Kunming CSOT G1 Shenzhen 자료 : IHS, NH 투자증권리서치본부 그림 28. BOE 의 1 세대 LCD 시설투자발표 그림 29. Foxconn 의 1 세대 LCD 시설투자발표 자료 : IHS, NH 투자증권리서치본부 자료 : IHS, NH 투자증권리서치본부 19

20 반도체산업 확대되고있는중소형 OLED 투자 중소형 OLED: 중국패널업체들의투자확대움직임은앞으로도당분간계속될전망이다. 이는중소형 OLED 투자가본격화되고있기때문으로, 중국패널업체들은중소형패널시설투자계획을 OLED 위주로재편성하고있는것으로파악된다. 중국패널업체들은공격적인투자계획을바탕으로빠르게중소형 OLED 생산능력을확대할전망이다. 비록투자계획가운데일부는실현가능성이낮다고판단되지만중국시장을기반으로한성장가능성은높다고판단한다. 참고로중소형 OLED 투자를계획중인중국패널업체들가운데, 세대와규모를고려할때의미있는증설을계획하고있는업체는 BOE, EverDisplay, Tianma, Visionox 등이라고판단된다. 중국의중소형 OLED 생산능력은 217년 56K( 천장 )/ 월 218년 154K/ 월로확대구축될것으로추정한다. 그림 3. 중국중소형 OLED 생산능력전망 (K/ 월 ) 중국중소형 OLED 생산능력 Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17F 3Q17F 4Q17F 1Q18F 2Q18F 3Q18F 4Q18F 자료 : NH 투자증권리서치본부전망 그림 31. BOE 의 4.8 인치 Flexible OLED 그림 32. EverDisplay 의 Rigid OLED 패널양산제품 자료 : BOE, IHS, NH 투자증권리서치본부 자료 : EverDisplay, IHS, NH 투자증권리서치본부 2

21 반도체산업 III. 세미콘차이나 217 참관내용 세미콘차이나큰 규모로성장 당사는세미콘차이나 217 참관을통해중국반도체산업의방향을살펴보고자 하였다. 금번세미콘차이나참여인원은약 4 만 2 천명으로 216 년대비 2% 성장 하였다. 참여규모만으로볼때는세미콘웨스트보다도큰규모로성장하고있는모습이었 다. 아무래도중국정부의지원이있다보니중국반도체업체를포함해전세계 반도체장비소재업체들의전시장이된모습이다. 중국측참여업체 반도체후공정및 파운드리중심 중국에서는주로반도체후공정업체인 OSAT를중심으로참여하였다. 대표적인회사로 STATS ChipPAC을인수하였던장전테크놀러지가눈에띄었으며, 파운드리업체로는 SMIC가 28nm 기술을전시하였다. 메모리업체는거의눈에띄지않았으며, XMC 정도가 NOR Flash 기술을선보였다. 해외참여업체는 반도체장비중심 반도체장비업체는글로벌장비업체를중심으로참여했으며, 중국꽌시 ( 관계 ) 특 성상에이전시회사들도다수참여하였다. 국내업체의경우한미반도체와같은후 공정장비업체들이중심이었고, 전공정업체로는원익, 케이씨텍등이참여하였다. 중국측소재업체 Wafer 중심 반도체소재업체는장비업체보다는상대적으로많지않았다. 중국반도체소재업체들은주로 Wafer제품을선보였는데, 12인치 Wafer는기술난이도문제로아직생산하지못하는모습이었다. 한국업체로는원익머트리얼즈, 케이씨텍, SKC솔믹스등다양한소재업체들이제품을전시하였다. 그림 33. 세미콘차이나 217 자료 : 세미콘차이나 217, NH 투자증권리서치본부 21

22 반도체산업 1. 반도체제조업체및장비업체참관 중국반도체제조업체는후공정업체중심으로전시세미콘차이나부스에서가장앞에전시되어있는회사들은주로중국후공정업체들이었다. 금번세미콘차이나부스는 W3에서부터 W5까지마련되어있었는데입장하자마자 W5 지역부터관람이가능하였다. W5 입구에진입하자마자 OSAT( 후공정 ) 대표업체인장전테크놀러지전시부스가눈에띄었다. 장전테크놀로지는 215년 8월에글로벌 OSAT업체인 STATS ChipPAC을인수하면서글로벌 OSAT 3위로급부상했다. 참고로글로벌 OSAT 1위업체는대만의 ASE&SPIL 이며 2위업체는암코 (Amkor) 이다. 장전테크놀로지는금번세미콘차이나에서 WLP(Wafer Level Package), Flip chip CSP(Chip Scale Package), Wire Bonding 등을선보였다. 그림 34. 장전테크놀로지 ( 중국후공정 OSAT 업체 ) 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 35. 동복미전자 ( 중국후공정 OSAT 업체 ) 그림 36. 화천과기 ( 중국후공정 OSAT 업체 ) 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 22

23 반도체산업 중국의 Tian Shui HuaTian이라는회사도전시장앞에부스를마련하고있었는데중국서북지역에있는회사로군사관련반도체기업이다. 한국에알려지지않은회사이지만중국이이미군사용반도체까지국산화하려는움직임을느낄수있었다. 제품군은 1년이전기술로보이지만군사용으로사용하기에는큰무리가없어보였다. 또한중국업체들은반도체용자동화설비도개발중으로아직완성도는떨어지지 만기존전자기술과접목하여개발진행할것으로판단된다. 그림 37. 천수화천미전자 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 38. 반도체자동화설비 자료 : 세미콘차이나 217, NH 투자증권리서치본부 23

24 반도체산업 중국전공정업체로는 SMIC가독보적세미콘차이나 217 행사장에서중국의대표적인파운드리업체인 SMIC 부스는가장접근이용이한 W5 Site 한가운데위치하고있었다. SMIC는아직 TSMC보다기술력이떨어지지만글로벌 5위권으로도약하고있으며 8인치 CAPA 13K~ 19K 매 / 월, 12인치 CAPA 8K~ 9K매 / 월수준으로파악되고있다. SMIC는 65nm와 9nm을메인공정으로하고있지만 28nm도제품화하고있다. 이미퀄컴과같은글로벌기업도고객으로유치하면서메모리와달리어느정도자리를잡아가는모습이었다. SMIC 부스담당자는 14nm도이미진행하고있다고언급했지만타업체와더블체크해본결과 14nm공정은아직요원한것으로파악되었다. 현지에서중국업체들이밝히는내용들이항상현실보다는희망에가깝기때문에 2% 정도는깎아들어야한다는조언이맞는얘기였다. 그림 39. 중국파운드리업체 SMIC 전시부스 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 4. SMIC 3mm 웨이퍼 그림 41. SMIC 28nm 공정마스크 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 24

25 반도체산업 중국메모리업체로는 XMC가유일하게전시중국메모리업체중유일하게세미콘차이나에참여한회사는칭화유니그룹소속의 XMC였다. XMC는최근에창장메모리 (YMTC) 산하로들어오면서 3D NAND보다는 NOR Flash에집중하는모습이다. XMC는유럽의스팬션기술을활용해서 NOR Flash를개발하고있으며 4 ~6nm급으로제품화를진행중이다. 참고로 XMC 의모회사인창장메모리 (YMTC) 의 3D NAND 는 218 년 32 단을목표 로하고있으나, 중국현지업계쪽에확인한결과현재 7 단정도의 3D NAND 를 진행하고있으며이역시완성도가떨어지고있음을확인하였다. 그림 42. 중국메모리업체인 XMC 전시부스 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 43. XMC Nor Flash Wafer 그림 44. XMC TSV 웨이퍼 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 25

26 반도체산업 한미반도체, 케이씨텍, 원익, 리노공업등국내장비업체들도제품전시한편국내반도체장비업체들도전시부스를마련하였다. 가장눈에띄는회사는한미반도체로 Vision Placement 등후공정장비를전시하였으며이번세미콘차이나파트너로선정되어곳곳에서회사브랜드가눈에띄었다. 한미반도체의경우전체매출중중국향매출비중이 2~ 3% 로중국반도체시장확대시수혜가기대되고있다. 그림 45. 후공정장비업체인한미반도체전시부스 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 46. 한미반도체후공정장비전시 그림 47. Pick and Placement 장비 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 26

27 반도체산업 추가로원익, 케이씨텍, 리노공업, 오킨스전자, 서플러스글로벌, PKI, 싸이맥스등다양한업체가참석하였다. 큰흐름에서는중국반도체성장으로후공정장비업체와함께글로벌경쟁력을갖춘전공정장비업체가우선적으로수혜를입을것으로예상된다. 그림 48. 한국반도체장비 / 부품업체전시부스 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 49. 원익전시부스 그림 5. 리노공업소켓전시부스 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 51. 서플러스글로벌전시부스 ( 국내중고장비업체 ) 그림 52. 케이씨텍전시부스 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 27

28 반도체산업 2. 반도체소재업체참관 중국은웨이퍼업체중심전시, 한국은다양한소재업체참여반도체장비와달리반도체소재업체부스는상대적으로많지않았다. 중국반도체소재업체들은주로 Wafer에집중하는모습이다. 현재중국반도체 Wafer업체들은주로 8인치와 6인치 Ingot을 Growing 해서제품을선보이고있다. 현장미팅에서중국 Wafer 업체들은 Wafer Ingot이폴리실리콘이아니라단결정실리콘임을자랑스러워했다. 다만 12인치 Wafer생산관련해서는기술력부족을인정하였다. 현상황으로봤을때향후글로벌 Wafer Top3인 ShinEtsu나 SUMC, MEMC가추가증산을하지않는다면 12인치 Wafer 공급이부족할수도있을것으로판단된다. 그림 53. 중국 Wafer 업체 Ingot (8 인치및 6 인치 ) 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 54. 천진중환반도체 ( 소재업체 ) 웨이퍼전시 그림 55. 북경경운통과기 ( 소재업체 ) 웨이퍼전시 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 28

29 반도체산업 한국소재업체가스, 케미칼및파츠업체참여세미콘차이나 217에참여한한국소재업체들은주로가스, 케미칼및파츠제품업체들이었다. 원익머트리얼즈의경우 Si2H6 및 N2O Gas 등범용반도체가스와전구체등을선보였다. 한편케이씨텍은 CMP Slurry에집중하는모습이었으며 Ceria Slurry를주력으로제품을선보였다. SKC솔믹스역시다양한반도체파츠를전시하였으며반도체세정코팅업체들도행사에참여하였다. 해외업체로는 Linde 및 Airproducts 등 Gas 및 Chemical 업체가참여하였다. 중장기관점중국반도체소재수요확대수혜가예상된다. 그림 56. 원익머트리얼즈, CVD/Etch Gas 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 57. SKC 솔믹스, 반도체파츠 그림 58. 케이씨텍, CMP 슬러리 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 29

30 반도체산업 3. 중국반도체포럼참관 Tech Investment Forum 217 중국및해외반도체 전문가발표 기술투자포럼에서는중앙정부반도체펀드인 국가반도체투자펀드 의정문우 ( 丁文武 ) 총재와대표지방정부반도체펀드인 상해반도체산업투자펀드 의심위국 ( 沈伟国 ) 회장, 그리고청화대학교전자학연구소위소군 ( 魏少军 ) 소장, 중국과학원미전자연구소엽첨춘 ( 叶甜春 ) 소장등이발표하였는데, 그내용은다음과같다. 균형적인반도체 투자를통한반도체 수직계열화완성 포럼은과거중국공신부전자정보사사장을역임하고, 현재 국가반도체투자펀드 수장인정문우 ( 丁文武 ) 총재의발표로시작되었다. 정문우총재는 216년중국반도체매출액이전년대비 2.1% 성장하였고, 특히설계매출액이 24.1% 성장하며후공정을제치고가장높은매출비중을차지하였다 고언급했다. 또한그는 국가반도체투자펀드 는 216년연말까지 563억위안을중국대표반도체기업에투자하였고, 나머지금액도예정된프로젝트에집행될예정이다. 집행금액중제조분야투자금액이전체중 7% 를차지하여, 반도체산업발전추진강요 에서제시한목표치 6% 를초과하였다. 이외에도 국가반도체투자펀드 의설립및투자집행은 1~ 3선지방정부의반도체투자펀드설립과투자집행에크게공헌하였다 고언급했다. 한편정총재는 중국로컬반도체기업간중복또는경쟁적투자를조심해야한다. 최근중국기업의해외업체인수가업계및해외정부견제로인해쉽지않은데, 이런상황에선중국반도체업체간인수합병을적극검토해야한다. 또한반도체산업각분야에균형적으로투자되어야하며, 반도체산업수직계열화를통해시너지를창출해야한다 고강조하였다. 그림 59. Semicon China 217, Tech Investment Forum 그림 6. Tech Investment Forum 주발표자 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 3

31 반도체산업 연구개발비용증대 및인력충원필요 청화대학교전자학연구소위소군 ( 魏少军 ) 소장은 중국반도체산업은주요전방산업 ( 휴대폰, 태블릿 PC, TV, PC, 노트북 ) 의중국내고속성장에힘입어성장하였다. 그결과 215년중국의글로벌반도체소비비중은 25.4% 까지확대되었고, 중국 IT산업의경쟁력강화로중국의반도체수요증가세는이어질것 이라고언급하였다. 이어위소장은 현재중국반도체생산능력은중국내수요를충족시키기어려우나, 계획된 Fab이모두완공된다면중국내초과수요문제가어느정도해결될것이다. 중국은통신용프로세서, NPU, Nor Flash, 이미지센서, MCU를제외한반도체를모두수입하고있다. 중국연간반도체연구개발비용은 45억달러로전체매출액대비 6.7% 수준인데, 이는 Intel 연간연구개발비용의 5% 밖에안된다. 22 년까지반도체인력 7만명이필요한데, 현재 3만명수준으로졸업생을고려해도턱없이부족한상황이다. 기술력강화와제품군확대를위해서는연구개발비용확대및부족한인력충원이반드시동반되어야한다 고강조했다. 그림 61. 중국반도체산업과열인가? 그림 ~ 16 년반도체설계매출연평균 44.91% 성장 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 63. 통신반도체와 Nor Flash 제외시대부분수입의존 그림 64. 중국반도체산업연구개발비용부족 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 31

32 반도체산업 중국반도체산업, 중장기성장을고려한 Re-Position 필요 중국과학원미전자연구소엽첨춘 ( 叶甜春 ) 소장은 국가반도체투자펀드 의투자에힘입어반도체생산설비투자가빠르게진행되었고, 해외반도체기업인수를통해단기간에기술력향상과생산능력확대를이루었다. 최근미국, 일본, 대만정부가중국의움직임에제동을걸고있다 고언급하였다. 그는 중국반도체산업은현재 가격경쟁력있는제조업체 에서 1년후 기술솔루션제공업체, 또 1년후에는 글로벌파트너 로성장하기위하여중장기전략수립해야한다. 중국이앞으로 산업화와정보화의융합 에서 전면적정보화시대 로진입할경우, 반도체응용산업이빠르게성장하며반도체관련수요를촉진할것이다. 구체적으로스마트제조업, 5G, IOT, 고속철도망, 스마트그리드, 스마트카등반도체응용범위확대와반도체산업수직계열화완성, 금융산업과의융합을통해규모확대뿐아니라질높은성장을이루어야한다 고강조하였다. 그림 65. 가격경쟁력있는제조업체에서글로벌파트너로 그림 66. 글로벌반도체인수금액중중국비중 12% 에불과 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 67. 중국반도체산업의 Re-Positon 그림 68. 반도체응용산업빠른성장에힘입어반도체수요확대 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 32

33 반도체산업 4 개의반도체산업 클러스터형성 상해반도체산업투자펀드 심위국 ( 沈伟国 ) 회장은 중국반도체산업은전방산업수요확대와지방정부의적극적지원에힘입어장삼각주 ( 설계, 제조, 후공정, 장비, 소재등전산업 ), 경진기-환보해 ( 설계, 장비 ), 주삼각주 ( 설계, 응용 ), 중서부 ( 메모리, 특수 ) 등 4개의산업클러스터를형성하였다. 특히장삼각주클러스터에는 SMIC, 화력미전자 ( 화홍반도체자회사 ), 장전테크놀로지등글로벌대표반도체기업이포함되어중국에서가장경쟁력있다고평가되고있으며, 계획된 TSMC의남경 Fab이완공되면경쟁력은더욱부각될것 이라고언급하였다. 그는또 상해반도체투자펀드는 5억위안을모집하였고, 설계 1억위안 + 장비및소재 1억위안 + 제조 3억위안으로구성된 전략을추진하고있다. 216년상해반도체매출액은전년대비 1.8% 증가한 1,52.6억위안으로, 명실상부한중국 1위 ( 지역비중 24.3%) 이다. 또한 22년까지반도체매출액 2,8 억위안달성, 16~ 14nm 설계기술및대량생산능력확보, 중국내반도체장비및소재공급비중 3% 까지확대, 산업구조개선등을목표로제시하였다. 목표달성과정에서상해반도체산업은지속적으로발전할것으로기대된다 고강조하였다. 그림 69. 상해반도체투자에대한생각 그림 7. 중국 4 개지역에반도체산업클러스터형성 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 71. 각지방정부, 반도체투자펀드조성 그림 72. 상해반도체산업발전목표 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 33

34 반도체산업 IV. 시사점 M&A보다는자체팹건설 + DRAM 진출장기화 + 장비 / 소재시장확대금번세미콘차이나및중국탐방을통해도출한중국반도체산업흐름의시사점은다음과같다. 중국반도체산업관련, 1) 중국은대외영향으로 M&A보다는직접팹을건설하는방향으로선회하였으며, 2) 중앙정부가아닌지방정부중심으로 DRAM 산업을육성하고있어시장진출시점이지연될것으로예상되고, 3) 마지막으로 OSAT( 후공정 ) 및 Logic 파운드리를중심으로중국반도체산업이우선확대되면서반도체장비소재및후공정업체가수혜를입을것으로예상된다. 첫번째시사점은최근트럼프집권영향등으로중국의해외반도체 M&A 흐름이많이꺾였다는점이다. 이로인해중국의메모리사업은시장예상보다지연될것으로판단된다. 중국중앙정부는기술이전이어려운 DRAM 사업대신 NAND 사업에집중할전망이다. 두번째시사점으로 DRAM 산업은중앙정부보다는지방정부에서추진하고있어 DRAM 산업진출은장기화될전망이다. 또한 DRAM산업이과점화되어있는상황에서경영상의문제로매각될회사는없어중국은 DRAM 산업진출에어려움을겪고있다. DRAM 3개업체가무리하게경쟁할필요가없다보니기술이전이쉽지않기때문이다. 세번째시사점으로중국반도체팹건설로장비소재업체들의수혜가예상된다. 중국은낮은기술수준을극복하고공정변경점최소화하기위해글로벌장비및소재사용을늘릴전망이다. 국내업체의경우글로벌 M/S가높은업체와후공정업체를중심으로수혜가예상된다. 또한중국에디스플레이장비를공급하는회사들도기존중국업체와의거래가있기때문에중국반도체성장본격화시수혜가예상된다. 그림 73. 시사점및수혜업체 자료 : NH 투자증권리서치본부 34

35 반도체산업 1. 시사점 1: 중국은 M&A 보다는자체팹건설에집중할전망 자체팹건설로 DRAM 시장진출지연예상 DRAM 시장진출지연 중국은그동안해외업체인수를통해반도체굴기를진행해왔다. 하지만금번 217 세미콘차이나참관을통해중국반도체산업의흐름을점검한결과, 트럼프집권영향등으로 M&A 기반기술축적에서자체개발로방향을선회하고있는모습이다. 이로인해중국의메모리사업은시장예상보다지연될것으로판단된다. 중국중앙정부는기술이전이어려운 DRAM 사업대신 NAND 사업에집중하고있다. 중앙정부가주도하는회사는무한에위치한창장메모리 (YMTC) 이며 3D NAND 개발에집중하고있다. 창장메모리는 XMC의모회사이며칭화유니그룹의실질적인조직체라할수있겠다. 창장메모리는 32단 3D NAND 개발을완료하고 217년하반기말또는 218년상반기 32단양산을진행할예정에있다. 중장기계획으로는 219년 64단, 22 년 96단양산을목표로하고있다. 하지만현재개발여력을감안시창장메모리가주장한시점보다상당기간지연될것으로예상된다. 참고로창장메모리의 Full CAPA는 1K매 / 월에서중장기적으로는 3K매 / 월로확대될계획에있다. 25K매수준으로시험가동중에있다. 표 12. 중국메모리사업현황자본성격 위치 제품 Full CAPA 가동예정 CAPA 중장기계획 창장메모리 중앙정부 무한 ( 우한 ) 3D NAND 1K 25K매 / 월 3K 진화IC 지방정부 진강 ( 진장 ) DRAM 6K 2K매 / 월 2~3K(4~5개라인 ) 합비장흠 지방정부 합비 ( 허페이 ) DRAM 4K 2K매 / 월 12K(3개라인 ) 자료 : 언론, NH 투자증권리서치센터 그림 74. 중국창장메모리 (YMTC) 전경 자료 : 창장메모리, NH 투자증권리서치본부 35

36 반도체산업 지방정부는 DRAM 사업에집중 반면중국지방정부는중앙정부가포기한 DRAM사업에집중하고있다. 진강 ( 진장 ) 에위치한진화IC와합비 ( 허페이 ) 에위치한합비장흠이대표적인회사이다. 진화 IC의경우 1차 Full CAPA는 6K매 / 월수준이며향후 2K~ 3K매 / 월까지증설을목표로하고있다. 합비장흠의경우 1차 Full CAPA는 4K매 / 월수준이며향후 12K매 / 월까지증설을목표로하고있다. 이처럼중국반도체산업은 M&A 보다는자체팹건설에집중할것으로판단되며 이는 DRAM 시장진출을지연시키는요소로작용할전망이다. 물론중국의 DRAM 시장진출은중장기적으로는불가피하며지속적인관찰이필요한상황이다. 그림 75. 중국메모리사업위치 허페이 ( 합비 ): 합비장흠 우한 ( 무한 ): 창장메모리 진장 ( 진강 ): 진화 IC 자료 : 세미콘차이나 217, NH 투자증권리서치본부 36

37 반도체산업 2. 시사점 2: 중국 DRAM 진출은장기화예상 DRAM 양산을위해서는 5 년이상의기술축적시간이필요 DRAM 자체개발진행 중이나시간소요 예상 금번참관을통해확인된두번째시사점으로중국이엄청나게노력하고있지만 DRAM 시장진출은장기화될전망이다. 중국은 DRAM 산업진출을위해마이크론 및 SK 하이닉스인수을제안한바있다. 하지만 DRAM 산업이과점화되어있는상황에서경영상의문제로매각될회사는 없어중국은 DRAM 산업진출에어려움을겪고있다. DRAM 3 개업체가무리하게 경쟁할필요가없다보니기술이전이쉽지않다. 하지만중국은 DRAM 기술내재화를위해해외인력들을유치하고있다. 일본엘 피다사장이었던사카모토유키오가있는허페이 ( 합비 ) 성의 Sino King Technology 가대표적이다. 사카모토는중국허페이정부와반도체공장설립을진행중에있지만아직의미있 는진전은없다. 최근에는사마모토의건강이상설이나오면서유명무실화되고있 는모습이다. 합비장흠이라는회사가현재그뒤를잇고있다. DRAM 에가장적극적인회사는복건성에위치한진화 IC 이다. 진화 IC 는대만계반 도체인력들이유입되면서 DRAM 개발에매진하고있다. 하지만진화 IC 역시 DRAM 사업을본격화하는데상당시간이소요될전망이다. 그림 76. 중국진화 IC 팹전경 자료 : 진화 IC, NH 투자증권리서치본부 37

38 반도체산업 한편무한시정부는파운드리업체인 SMIC와공동으로중국메모리제조기지설립을계획중이다. 무한시가시도중인 DRAM 개발과관련국가산업펀드가 5%, 무한시가 3%, SMIC가 2% 출자하는형태를검토중에있다. 하지만무한의메모리제조기지프로젝트는아직중국정부의인가를받지못하고있다. 인가를받지못한것은아직 DRAM 양산기술이확보되지않았기때문이다. 이와같이중국의 DRAM시장진출은예상보다지연될전망이다. DRAM 공정은증착 (CVD, Chemical Vapor Deposition), 평탄화 (CMP, Chemical Mechanical Polishing), 패터닝 (Photo Lithography), 식각 (Etching), 이온주입 (Ion Implantation) 등의순서로진행되는데 Gate와 Capcitor를형성하는과정에다양한노하우와 IP(Intelectual Property) 가필요하다. 다시말해서첨단반도체장비들을구입했다고바로생산할수있는산업이아닌것이다. 그림 77. 중국진화 IC 팹 Lay Out 자료 : 진화 IC, NH 투자증권리서치본부 그림 78. 중국진화 IC 주요히스토리 자료 : 진화 IC, NH 투자증권리서치본부 38

39 반도체산업 3. 시사점 3: 반도체장비 / 소재시장확대전망 공정변경점최소화를위해검증된글로벌장비 / 소재투자확대예상 AMAT, LAM Research, SUMCO 수혜전망 중국은낮은기술수준을극복하고공정변경점최소화하기위해글로벌장비및소재사용을늘릴전망이다. 관련주로는글로벌반도체장비 1,2위업체인 AMAT(CVD) 와 LAM Research(Etch) 가대표적이며, 소재업체로는 Wafer 업체인 SUMCO의수혜가예상된다. 특히 Wafer의경우공급업체들의증산이없다면공급부족심화가예상된다. 국내업체는 M/S 가높은업체와후공정업체중심예상 피에스케이, 한미반도체, 고영수혜 전망 두번째, 국내업체로는글로벌 M/S 가높은업체와후공정업체를중심으로수혜가 예상된다. 글로벌 M/S 가높은업체로는피에스케이 (Asher) 가있으며후공정업체 중에서는한미반도체 (Pick and Placement) 와고영 ( 검사장비 ) 이이에해당된다. 중국에디스플레이장비를공급하는회사중반도체도진행하는업체수혜예상 케이씨텍, 원익 IPS, 테스수혜전망 마지막으로중국에디스플레이장비를공급하는회사들도기존중국업체와의거래 가있기때문에중국반도체성장본격화시수혜가예상된다. 대표적인회사로는 케이씨텍 (CMP), 원익 IPS(CVD), 테스 (CVD) 가해당된다. 그림 79. 글로벌장비 / 소재투자확대 자료 : NH 투자증권리서치본부 39

40 반도체산업 V. Epilouge: 중국반도체진출은위기이지만기회 대외여건영향으로해외 M&A보다는국내 M&A 및팹건설에집중 당사는금번세미콘차이나및중국탐방을통해중국반도체산업을살펴보았다. 중국은당초부족한기술을해외 M&A를통해극복하고자하는모습이었다. 하지만중국은미, 일정부를비롯한메모리업체들의견제로해외기업 M&A에어려움을겪고있는것으로확인되었다. DRAM 산업시장 진입은장기화예상 이로인해중국은해외 M&A보다는국내 M&A 및팹건설에집중하고있으며이에따라중국의메모리사업지연이예상된다. 특히 DRAM의경우시장진입에최소 5년이상소요될것으로판단된다. DRAM 산업은중앙정부보다는지방정부에서추진하고있어 DRAM 시장진출은장기화전망이다. 하지만중국은반도체산업에서비교적시장진입이용이한 OSAT( 후공정 ) 산업을강화하고있으며 Logic과 Foundry사업은본궤도에올라가고있는모습이다. 217 세미콘차이나도규모면에서매년 2% 씩성장하고있어중국정부의반도체산업지원은무시할수없는부분이라하겠다. 전공정 / 후공정장비 및소재업체수혜 전망 한편중국의이러한전략변화및방향으로장비소재업체들의수혜가예상된다. 중국은낮은기술수준을극복하고공정변경점을최소화하기위해글로벌장비및소재사용을늘릴전망이다. 국내업체의경우는글로벌 M/S가높은업체와후공정업체를중심으로수혜가예상된다. 또한중국에디스플레이장비를공급하는회사들도기존중국업체와의거래가있기때문에중국성장반도체본격화시수혜가예상되고있다. 중국의반도체산업진출은한국반도체산업에있어위기이면서도기회이다. 중국정부의반도체산업육성정책은분명우리기업들에게위협요소가되기때문이다. 하지만축적의시간이필요한메모리공정기술의난이도를감안시중국이해외업체 M&A 없이단시일내기술을습득하기란불가능하다. 따라서한국반도체업계가방심하지않고, 끊임없는제품혁신과기술개발에매진한다면후세에도남겨줄수있는마지막산업이될수있을것이다. 그림 8. 시사점및수혜업체 자료 : NH 투자증권리서치본부 4

41 삼성전자 (593.KS) 3D NAND 경쟁력으로메모리실적확대 전망 3D NAND 및서버 DRAM 경쟁력확대로반도체부문실적개선전망. IM 부문 ( 스마트폰 ) 도갤럭시 S8 출시이후사업정상화예상 중국메모리산업진출지연으로반도체업황호조확대전망 17 년 1 분기영업이익은 9.6 조원으로시장컨센서스 (8.9 조원 ) 상회예상. 부문별추정영업이익은반도체 6.1 조원, IM 2.1 조원, 디스플레이.98 조원, CE.38 조원 반도체부문은중국메모리산업진출지연에따라업황호조확대되는가운데, DRAM 및 3D NAND 실적증가로분기 6 조원대이익시현전망. 한편디스플레이부문의경우비수기영향으로소폭실적약세예상 IM 부문은 1 분기비수기진입및신제품부재로실적약세예상. 본격적인실적회복은 2 분기이후예상 64 단 3D NAND 본격화로 NAND 실적확대전망 217 년은 64 단 3D NAND 비중확대로반도체실적개선전망. IM 부문역시갤럭시 S8 출시이후실적회복될것으로예상됨에따라동사연간매출 223 조원, 영업이익 48 조원으로전망 217 년부문별영업이익은 IM 1 조원, 반도체 3 조원, 디스플레이 5 조원, CE 2.5 조원으로전망 반도체부문은도시바매각이슈에따른 NAND 업황호조로하반기이후분기영업이익 8 조원시대가개화될전망. 한편 IM 부문의경우 217 년사업정상화가전망되며갤럭시 S8 출시이후본격적인실적회복이전망 디스플레이부문의 Flexible OLED 시장지위는 217 년더욱강화될전망. Flexible OLED 관련 A3 추가증설, A4(L7-1) 전환투자등을통해 217 년 Flexible OLED 생산능력확대전망 Buy ( 유지 ) 목표주가 ㅇㅇㅎ2,6, 원 ( 유지 ) 현재가 ( 17/3/2) 2,95,원 업종 반도체 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 294,723.2십억원 발행주식수 ( 보통주 ) 14.7백만주 52주최고가 ( 17/3/17) 2,12,원 최저가 ( 16/4/29) 1,245,원 평균거래대금 (6일) 423,158백만원 배당수익률 (217E) 1.24% 외국인지분율 5.7% 주요주주 삼성물산외 9인 18.5% 국민연금 9.% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 218F 매출액 2,653 21, , ,629 증감률 영업이익 26,413 29,241 48,151 53,929 영업이익률 ( 지배지분 ) 순이익 18,695 22,416 36,113 39,987 EPS 124, , ,4 28,345 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 -58,692-72,965-91, ,72 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 Company Report 삼성전자 17 년 1 분기실적 Preview ( 단위 : 십억원, %) 1Q16 2Q16 3Q16 4Q16 1Q17E 예상치 y-y q-q 기존추정컨센서스 2Q17F 매출액 49,782 5,937 47,816 53,332 48, ,825 49,77 57,748 영업이익 6,676 8,144 5,2 9,221 9, ,81 8,891 12,63 영업이익률 세전이익 7,122 8,72 5,971 9,549 1, ,27 9,432 13,57 ( 지배 ) 순이익 5,264 5,826 4,49 6,917 7, ,697 6,826 9,336 주 : IFRS 연결기준. 자료 : FnGuide, NH투자증권리서치본부전망 Analyst 이세철 2) , peter.lee@nhqv.com RA 서준현 2) , joon.seo@nhqv.com

42 삼성전자 반도체업황호조로 217 년 1 분기영업이익 9.6 조원전망 17 년 1 분기영업이익 : 9.6 조원 삼성전자 217 년 1 분기영업이익은 9.6 조원으로시장컨센서스 (8.9 조원 ) 를상회할 전망이다. 부문별추정영업이익은반도체 6.1 조원, IM 2.1 조원, 디스플레이.98 조 원, CE.38 조원이다. 반도체부문은중국메모리산업진출지연에따라업황호조확대되는가운데, DRAM 및 3D NAND 실적증가로분기 6조원대이익을시현할전망이다. 한편디스플레이부문의경우비수기영향으로소폭실적약세예상된다. IM 부문은 1분기비수기진입및신제품부재로실적약세전망된다. IM부문실적은 2분기이후본격적으로회복될전망이다. 표 1. 삼성전자사업부별실적추이및전망 ( 단위 : 십억원, %) ( 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17F 3Q17F 4Q17F E 매출액반도체 11,15 12,1 13,15 14,862 15,261 16,525 18,76 18,969 47,597 51,163 68,831 Memory 7,939 8,431 9,857 11,618 12,43 12,894 14,321 15,47 34,294 37,846 54,35 DRAM 4,781 5,68 5,94 6,796 7,143 7,678 8,291 8,752 22,971 22,549 31,864 Flash 3,158 3,363 3,953 4,822 4,9 5,216 6,29 6,295 11,473 15,297 22,441 System LSI 2,771 3,179 3,233 3,194 2,878 3,361 3,455 3,522 12,523 12,376 13,216 Display 6,4 6,42 7,65 7,422 6,124 7,237 7,181 7,54 27,499 26,946 27,596 IM 27,65 26,69 22,54 23,612 22,46 28,75 26,955 26,3 13,561 99,826 13,438 Handset 26,66 25,581 21,816 22,634 21,413 27,562 26,123 24,828 1,517 96,691 99,928 System ,174 3,44 3,135 3,511 CE 1,619 11,55 11,242 13,641 1,196 11,967 12,265 14,537 46,895 47,51 48,965 Total 49,782 5,937 47,816 53,332 48,354 57,748 57,264 59,886 2,656 21, ,252 영업이익반도체 2,63 2,637 3,372 4,948 6,136 7,25 8,332 8,623 12,788 13,587 3,341 Memory 2,4 2,414 3,162 4,655 5,979 7,1 8,49 8,333 12,361 12,631 29,371 DRAM 1,92 1,825 2,145 3,4 3,824 4,376 4,818 5,22 1,977 8,911 18,4 Flash ,17 1,614 2,156 2,633 3,231 3,312 1,384 3,719 11,331 System LSI Display ,16 1, ,354 1,454 1,345 2,291 2,226 5,13 IM 3,891 4, ,52 2,14 3,393 2,717 2,231 1,154 1,815 1,445 CE 51 1, ,256 2,636 2,461 Total 6,676 8,144 5,2 9,221 9,585 12,63 13,147 12,789 26,411 29,241 48,151 영업이익률반도체 23.6% 22.% 25.6% 33.3% 4.2% 43.9% 46.1% 45.5% 26.9% 26.6% 44.1% Memory 3.2% 28.6% 32.1% 4.1% 49.6% 54.4% 56.2% 55.4% 36.% 33.4% 54.1% DRAM 39.8% 36.% 36.3% 44.7% 53.5% 57.% 58.1% 57.4% 47.8% 39.5% 56.6% Flash 15.8% 17.5% 25.7% 33.5% 44.% 5.5% 53.6% 52.6% 12.1% 24.3% 5.5% System LSI 4.2% 7.% 6.5% 7.3% 5.2% 7.% 8.% 8.% 4.% 6.3% 7.1% Display -4.5% 2.2% 14.4% 18.1% 16.% 18.7% 2.3% 19.1% 8.3% 8.3% 18.6% IM 14.1% 16.6%.4% 1.6% 9.4% 12.1% 1.1% 8.6% 9.8% 1.8% 1.1% CE 4.8% 8.9% 6.9% 2.4% 1.8% 2.5% 2.7% 2.8% 2.7% 5.6% 2.5% Total 13.4% 16.% 1.9% 17.3% 19.8% 21.9% 23.% 21.4% 13.2% 14.5% 21.6% 주 : IFRS 연결기준 ; 자료 : 삼성전자, NH 투자증권리서치본부전망 42

43 삼성전자 217년연간영업이익은반도체실적확대로 48조원전망 217년 3D NAND 및디스플레이등부품실적확대되고 IM 부문역시갤럭시 S8 출시이후실적회복될것으로예상됨에따라연간매출 223조원, 영업이익 48 조원으로전망된다. 217년부문별영업이익은 IM 1조원, 반도체 3조원, 디스플레이 5조원, CE 2.5조원으로예상된다. 반도체부문의경우 217 년 DRAM 및 NAND 업황호조에따른실적확대로하반 기이후분기영업이익 8 조원시대가개화될전망이다. 한편 IM 부문의경우 217 년사업정상화가전망되며갤럭시 S8 출시이후본격적인실적회복이전망된다. 디스플레이 Flexible OLED 시장지위는 217년더욱강화될전망이다. Flexible OLED 관련 A3 추가증설, A4(L7-1) 전환투자등을통해 217년 Flexible OLED 생산능력확대될것으로예상된다. 217년에는반도체와디스플레이등부품부문실적확대가가시화될전망이다. 그림 1. Forward PER Band 그림 2. Trailing PBR Band (won) Price 5.8x 7.1x 3,5, 8.5x 9.8x 11.2x 3,, 2,5, 2,, 1,5, 1,, 5, '11.1 '12.1 '13.1 '14.1 '15.1 '16.1 '17.1 자료 : DataGuide Pro, NH투자증권리서치본부 (won) Price 1.x 1.2x 3,5, 1.4x 1.7x 1.9x 3,, 2,5, 2,, 1,5, 1,, 5, '11.1 '12.1 '13.1 '14.1 '15.1 '16.1 '17.1 자료 : DataGuide Pro, NH투자증권리서치본부 43

44 삼성전자 STATEMENT OF COMPREHENSIVE INCOME Valuation / Profitability / Stability ( 십억원 ) 215/12 216/12 217/12E 218/12F 215/12 216/12 217/12E 218/12F 매출액 2,653 21, , ,629 PER( 배 ) 증감률 (%) PBR( 배 ) 매출원가 123,482 12, , ,974 PCR( 배 ) 매출총이익 77,171 81,589 1,78 17,655 PSR( 배 ) Gross 마진 (%) EV/EBITDA( 배 ) 판매비와일반관리비 5,758 52,348 52,557 53,726 EV/EBIT( 배 ) 영업이익 26,413 29,241 48,151 53,929 EPS( 원 ) 124, , ,4 28,345 증감률 (%) BPS( 원 ) 1,16,129 1,156,53 1,298,947 1,48,55 OP 마진 (%) SPS( 원 ) 1,362,216 1,413,245 1,586,959 1,653,611 EBITDA 47,344 49,954 73,45 79,864 자기자본이익률 (ROE, %) 영업외손익 ,473 1, 총자산이익률 (ROA, %) 금융수익 ( 비용 ) ,7 624 투하자본이익률 (ROIC, %) 기타영업외손익 -2, 배당수익률 (%) 종속, 관계기업관련손익 1, 배당성향 (%) 세전계속사업이익 25,961 3,714 49,424 54,454 총현금배당금 ( 십억원 ) 3,69 3,992 4,229 4,452 법인세비용 6,91 7,988 12,811 13,838 보통주주당배당금 ( 원 ) 21, 28,5 3,4 32, 계속사업이익 19,6 22,726 36,613 4,616 순부채 ( 현금 )/ 자기자본 (%) 당기순이익 19,6 22,726 36,613 4,616 총부채 / 자기자본 (%) 증감률 (%) 이자발생부채 12,874 15,282 16,587 16,935 Net 마진 (%) 유동비율 (%) 지배주주지분순이익 18,695 22,416 36,113 39,987 총발행주식수 ( 백만 ) 비지배주주지분순이익 액면가 ( 원 ) 5, 5, 5, 5, 기타포괄이익 76 1, 주가 ( 원 ) 1,26, 1,82, 2,95, 2,95, 총포괄이익 19,136 24,717 36,638 41,314 시가총액 ( 십억원 ) 21,58 282,9 327, ,893 STATEMENT OF FINANCIAL POSITION CASH FLOW STATEMENT ( 십억원 ) 215/12 216/12 217/12E 218/12F ( 십억원 ) 215/12 216/12 217/12E 218/12F 현금및현금성자산 22,637 32,111 46,212 7,248 영업활동현금흐름 4,62 47,386 61,253 65,882 매출채권 25,168 24,279 26,73 27,853 당기순이익 19,6 22,726 36,613 4,616 유동자산 124, ,43 166, ,656 + 유 / 무형자산상각비 2,931 2,713 24,894 25,934 유형자산 86,477 91,473 94,521 97,37 + 종속, 관계기업관련손익 -1, 투자자산 13,69 12,642 13,981 14,569 + 외화환산손실 ( 이익 ) 비유동자산 117,365 12, ,84 129,748 Gross Cash Flow 48,671 53,481 72,65 78,314 자산총계 242,18 262, , ,44 - 운전자본의증가 ( 감소 ) -4,682-1, 단기성부채 11,377 13,98 15,714 16,143 투자활동현금흐름 -27,168-29,659-35,376-31,5 매입채무 6,187 6,485 7,172 7,473 + 유형자산감소 유동부채 5,53 54,74 6,753 63,73 - 유형자산증가 (CAPEX) -25,88-24,143-26,213-26,541 장기성부채 1,497 1, 투자자산의매각 ( 취득 ) 4, , 장기충당부채 Free Cash Flow 14,182 23,243 35,4 39,341 비유동부채 12,617 14,57 15,477 16,9 Net Cash Flow 12,894 17,727 25,877 34,877 부채총계 63,12 69,211 76,229 79,82 재무활동현금흐름 -6,574-8,67-12,182-1,97 자본금 자기자본증가 자본잉여금 4,44 4,44 4,44 4,44 부채증감 -6,574-8,67-12,182-1,97 이익잉여금 185, ,86 224,97 26,56 현금의증가 5,796 9,475 14,11 24,36 비지배주주지분 6,183 6,539 7,39 7,668 기말현금및현금성자산 22,637 32,111 46,212 7,248 자본총계 179,6 192, ,42 246,322 기말순부채 ( 순현금 ) -58,692-72,965-91, ,72 44

45 SK 하이닉스 (66.KS) 견조한실적시현전망 217 년 1 분기영업이익은우호적인반도체업황으로 2.5 조원전망. 최 근 DRAM 업황과관련고점우려는있으나 NAND 업황확대로견조한 실적지속전망 반도체업황호조로 1 분기영업이익 2.5 조원전망 SK 하이닉스 1 분기실적은 DRAM 및 NAND 업황개선으로확대전망. 1 분기매출은 6. 조원, 영업이익은 2.5 조원으로전망 DRAM 부문은 PC DRAM 및서버 DRAM 가격상승영향으로 1 분기실적개선기대. PC DRAM 평균판가는 4GB DDR4 모듈기준 1 분기 24.5 달러에서 2 분기 26 달러수준형성예상 NAND 부문의경우도시바분할이슈가장기화되는가운데 SSD 수요증가로공급부족현상심화될전망 DRAM 업황우려는있으나 NAND 기반반도체업황호조지속예상 DRAM 업황둔화우려속삼성전자가 17 라인옆부지에 DRAM 신규생산라인을건설한다는언론보도로관련우려확대 하지만이는 DRAM 물량확대보다는시스템반도체수요증가에대응하기위한방안으로분석 DRAM 가격상승세는둔화되고있지만 NAND 가격상승세가강화되고있어 SK 하이닉스 217 년연간영업이익은 1.4 조원으로전망 217 년하반기부터 72 단 3D NAND 본격추진예상 SK 하이닉스 NAND 부문은 217 년하반기부터 72 단 3D NAND 본격추진전망 NAND 시장은 2D NAND 에서 3D NAND 로전환되고있고도시바이슈도장기화될것으로보여 217 년연간공급부족전망 Buy ( 유지 ) 목표주가 68,원 ( 유지 ) 현재가 ( 17/3/2) 47,6원 업종 반도체 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 34,652.9십억원 발행주식수 ( 보통주 ) 728.백만주 52주최고가 ( 17/2/8) 54,6원 최저가 ( 16/5/9) 25,75원 평균거래대금 (6일) 194,34백만원 배당수익률 (217E) 1.26% 외국인지분율 49.7% 주요주주 SK텔레콤외 5인 2.8% 국민연금 1.1% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 218F 매출액 18,798 17,198 25,76 26,984 증감률 영업이익 5,336 3,277 1,447 11,296 영업이익률 ( 지배지분 ) 순이익 4,322 2,954 8,98 8,657 EPS 5,937 4,57 11,124 11,891 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 ,88-12,779 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 Company Report SK 하이닉스 17 년 1 분기실적 Preview ( 단위 : 십억원, %) 1Q16 2Q16 3Q16 4Q16 1Q17E 예상치 y-y q-q 기존추정컨센서스 2Q17F 매출액 3,656 3,941 4,244 5,358 6, ,788 5,814 6,247 영업이익 ,536 2, ,37 2,82 2,762 영업이익률 세전이익 ,571 2, ,221 2,78 2,78 ( 지배 ) 순이익 ,627 2, ,793 1,73 2,27 주 : IFRS 연결기준. 자료 : FnGuide, NH투자증권리서치본부전망 Analyst 이세철 2) , peter.lee@nhqv.com RA 서준현 2) , joon.seo@nhqv.com

46 SK 하이닉스 표 1. SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 218F 매출액 - 수정후 18,798 17,198 25,76 26,984 - 수정전 - 23,735 24,725 25,677 - 변동률 영업이익 - 수정후 5,336 3,277 1,447 11,296 - 수정전 - 8,24 8,771 9,261 - 변동률 영업이익률 ( 수정후 ) EBITDA 9,289 7,733 15,739 16,986 ( 지배지분 ) 순이익 4,322 2,954 8,98 8,657 EPS - 수정후 5,937 4,57 11,124 11,891 - 수정전 - 8,492 9,281 9,829 - 변동률 PER PBR EV/EBITDA ROE 주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 46

47 SK 하이닉스 표 2. Global Peer Analysis Valuation 회사명 SK하이닉스 삼성전자 Toshiba Micron Nanya PER ( 배 ) E F PBR ( 배 ) E F EV/EBITDA ( 배 ) E F ROE (%) E F ROA (%) E F 자료 : 3 월 2 일종가기준, Bloomberg 전망 그림 1. Forward PER Band 그림 2. Trailing PBR Band (won) 1, 8, Price 5.2x 6.3x 7.4x 8.5x 9.6x (won) 1, 8, Price.8x 1.1x 1.3x 1.6x 1.8x 6, 6, 4, 4, 2, 2, '13.1 '14.1 '15.1 '16.1 '17.1 자료 : DataGuide Pro, NH 투자증권리서치본부 '13.1 '14.1 '15.1 '16.1 '17.1 자료 : DataGuide Pro, NH 투자증권리서치본부 47

48 SK 하이닉스 표 3. 분기실적추이 ( 단위 : 십억원, %) ( 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17F 3Q17F 4Q17F E 매출액 Memory 3,551 3,816 4,12 5,222 5,916 6,149 6,219 6,369 18,314 16,691 24,652 DRAM 2,8 2,824 2,942 3,896 4,492 4,566 4,56 4,588 14,52 12,462 18,26 Flash ,16 1,326 1,424 1,583 1,659 1,781 4,262 4,229 6,447 기타 Total 3,656 3,941 4,244 5,358 6,2 6,247 6,327 6,482 18,798 17,198 25,76 영업이익 Memory ,557 2,513 2,782 2,68 2,562 5,351 3,357 1,536 DRAM ,397 2,173 2,333 2,228 2,63 5,219 3,336 8,797 Flash ,739 기타 Total ,536 2,53 2,762 2,651 2,532 5,336 3,277 1,447 영업이익률 Memory 16% 12% 19% 3% 42% 45% 43% 4% 29% 2% 43% DRAM 24% 18% 26% 36% 48% 51% 49% 45% 37% 27% 48% Flash -14% -4% 1% 12% 24% 28% 27% 28% 3% % 27% 기타 -11% -8% -26% -16% -1% -2% -27% -26% -3% -16% -21% Total 15% 11% 17% 29% 42% 44% 42% 39% 28% 19% 42% 주 : IFRS 연결기준자료 : SK 하이닉스, NH 투자증권리서치본부전망 표 4. 부문별 Key Data ( 단위 : 십억원, %, 백만대 ) ( 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17F 3Q17F 4Q17F E DRAM Flash 매출액 2,8 2,824 2,942 3,896 4,492 4,566 4,56 4,588 14,52 12,462 18,26 변동률 -16% 1% 4% 32% 15% 2% % 1% 7% -11% 46% 영업이익 ,397 2,173 2,333 2,228 2,63 5,219 3,336 8,797 영업이익률 24% 18% 26% 36% 48% 51% 49% 45% 37% 27% 48% 출하량 (1Gb eq.) 4,281 4,975 5,365 6,54 5,98 5,97 6,438 7,25 16,571 2,676 25,522 변동률 -3% 18% 8% 13% -2% 1% 8% 12% 24% 25% 23% ASP(1Gb eq.) 변동률 -14% -11% % 14% 19% 1% -8% -9% -21% -3% 19% 매출액 ,16 1,326 1,424 1,583 1,659 1,781 4,262 4,229 6,447 변동률 -23% 32% 17% 14% 7% 11% 5% 7% 24% -1% 52% 영업이익 ,739 영업이익률 -14% -4% 1% 12% 24% 28% 27% 28% 3% % 27% 출하량 (8Gb eq.) 2,722 4,139 4,652 4,54 4,36 4,752 5,275 6,13 1,985 16,17 2,4 변동률 -11% 52% 12% -3% -3% 9% 11% 14% 64% 46% 27% ASP(8Gb eq.) 변동률 -15% -11% 7% 14% 12% 2% -6% -5% -3% -33% 21% 주 : IFRS 연결기준자료 : SK 하이닉스, NH 투자증권리서치본부전망 48

49 SK 하이닉스 STATEMENT OF COMPREHENSIVE INCOME Valuation / Profitability / Stability ( 십억원 ) 215/12 216/12 217/12E 218/12F 215/12 216/12 217/12E 218/12F 매출액 18,798 17,198 25,76 26,984 PER( 배 ) 증감률 (%) PBR( 배 ) 매출원가 1,515 1,787 11,339 12,241 PCR( 배 ) 매출총이익 8,283 6,411 13,737 14,744 PSR( 배 ) Gross 마진 (%) EV/EBITDA( 배 ) 판매비와일반관리비 2,947 3,134 3,29 3,447 EV/EBIT( 배 ) 영업이익 5,336 3,277 1,447 11,296 EPS( 원 ) 5,937 4,57 11,124 11,891 증감률 (%) BPS( 원 ) 29,377 32,99 44,2 55,497 OP 마진 (%) SPS( 원 ) 25,821 23,624 34,446 37,66 EBITDA 9,289 7,733 15,739 16,986 자기자본이익률 (ROE, %) 영업외손익 총자산이익률 (ROA, %) 금융수익 ( 비용 ) 투하자본이익률 (ROIC, %) 기타영업외손익 배당수익률 (%) 종속, 관계기업관련손익 배당성향 (%) 세전계속사업이익 5,269 3,216 1,23 1,779 총현금배당금 ( 십억원 ) 법인세비용 ,113 2,18 보통주주당배당금 ( 원 ) 계속사업이익 4,324 2,96 8,117 8,671 순부채 ( 현금 )/ 자기자본 (%) 당기순이익 4,324 2,96 8,117 8,671 총부채 / 자기자본 (%) 증감률 (%) 이자발생부채 3,819 4,336 3,336 2,536 Net 마진 (%) 유동비율 (%) 지배주주지분순이익 4,322 2,954 8,98 8,657 총발행주식수 ( 백만주 ) 비지배주주지분순이익 액면가 ( 원 ) 5, 5, 5, 5, 기타포괄이익 주가 ( 원 ) 3,75 44,7 47,6 47,6 총포괄이익 4,342 2,989 8,137 8,696 시가총액 ( 십억원 ) 22,386 32,542 34,653 34,653 STATEMENT OF FINANCIAL POSITION CASH FLOW STATEMENT ( 십억원 ) 215/12 216/12 217/12E 218/12F ( 십억원 ) 215/12 216/12 217/12E 218/12F 현금및현금성자산 1, ,284 9,784 영업활동현금흐름 9,32 5,549 12,551 14,13 매출채권 2,628 3,252 4,741 5,12 당기순이익 4,324 2,96 8,117 8,671 유동자산 9,76 9,839 17,735 24,259 + 유 / 무형자산상각비 3,953 4,456 5,292 5,689 유형자산 16,966 18,777 19,897 21,389 + 종속, 관계기업관련손익 투자자산 외화환산손실 ( 이익 ) 85 1 비유동자산 19,918 22,377 23,427 24,769 Gross Cash Flow 9,525 7,946 15,576 16,418 자산총계 29,678 32,216 41,162 49,28 - 운전자본의증가 ( 감소 ) 832-1, 단기성부채 1, 투자활동현금흐름 -7,126-6,23-7,913-7,373 매입채무 ,15 1,92 + 유형자산감소 유동부채 4,841 4,161 5,966 6,127 - 유형자산증가 (CAPEX) -6,775-5,956-6,2-7, 장기성부채 2,86 3,631 2,49 1,832 + 투자자산의매각 ( 취득 ) 장기충당부채 Free Cash Flow 2, ,351 7,13 비유동부채 3,45 4,32 2,993 2,46 Net Cash Flow 2, ,638 6,73 부채총계 8,29 8,192 8,959 8,587 재무활동현금흐름 -1, ,224 자본금 3,658 3,658 3,739 3,739 자기자본증가 463 자본잉여금 4,144 4,144 4,526 4,526 부채증감 -1, ,423-1,224 이익잉여금 14,359 17,67 24,741 32,939 현금의증가 ,67 5,5 비지배주주지분 기말현금및현금성자산 1, ,284 9,784 자본총계 21,388 24,24 32,23 4,441 기말순부채 ( 순현금 ) ,88-12,779 49

50 한미반도체 (427.KS) 중국향반도체장비매출확대전망 다양한중국반도체고객을확보하고있는동사향후중국반도체투자 확대의직접적인수혜전망. 중장기적으로도신규장비를확보하며안정 적인매출증가시현할것으로기대 국내및글로벌 1 위후공정패키징장비업체 한미반도체는반도체후공정패키징장비업체로최근 3D TSV 패키징장비및레이저마킹장비등신규장비확보 후공정패키징장비 (Vision Placement) 는세계시장점유율 1 위로해외다양한거래처확보 중국반도체굴기에따른반도체후공정장비매출수혜전망 특히장전테크놀로지 STATS ChipPAC향매출을중국매출에포함시중화권 ( 중국및대만 ) 매출비중은 6% 를상회. 장전테크놀러지, 화천과기, ASE 등을중화권주요고객사로확보하고있음 중화권반도체업체투자확대의직접적인수혜주가될것으로판단. 추가로 FoWLP 및 FoPLP 두기술에동사의제품은모두대응가능하여이에따른수혜전망 Vision Placement 뿐아니라 3D TSV 패키징장비등신규제품추진 한미반도체는증가하는수요에대응하기위해 16 년신규공장완공. 기존후공정패키징장비외에 3D TSV 패키징장비및레이저마킹장비등신규장비확보로실적개선기대감확대 중국반도체후공정수요증가및신규제품출시로 217 년매출 1,862 억원, 영업이익 44 억원전망 Not Rated 현재가 ( 17/3/2) Company Report ,15 원 업종 IT부품 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 41.7십억원 발행주식수 ( 보통주 ) 25.4백만주 52주최고가 ( 16/4/2) 17,35원 최저가 ( 16/12/7) 12,35원 평균거래대금 (6일) 1,867백만원 배당수익률 (217E) 3.1% 외국인지분율 7.2% 주요주주곽노권외 9 인 49.% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 1, ,236 1,36 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 한미반도체후공정패키징 (Vision Placement) 장비 Analyst 이세철 2) , peter.lee@nhqv.com RA 서준현 2) , joon.seo@nhqv.com 자료 : 한미반도체, NH 투자증권리서치본부

51 기업개요 한미반도체는 198 년에설립, 25 년 7 월에코스피에상장한업체. 반도체후공정패키징장비 (Vision Placement) 를 주요제품으로최근 3D TSV 패키징장비 (Dual TC Bonder) 및레이저마킹및절단장비 (Laser 장비 ) 등신규장비확보 Vision Placement 는반도체패키지의세척, 건조, 3D 비전검사 (Vision Inspection) 후불량패키지를검사하는장비. 동사는세계시장점유율 1 위를확보한업체로최근 Wafer Level Package(WLP) 개별화용까지개발 중국관련매출비중은 216 년기준 43.2% 로향후중국반도체업체투자와함께실적개선전망. 중국 3 대주요고객사 는장전테크놀로지 (JCET), 화천과기 (Huatian Technology), 통부미전 (Nantong Fujitsu) 이고, 대만은 ASE, SPIL 이최대고객사 또한반도체패키징이 FoWLP, FoPLP 로변화하며두기술에모두대응가능한동사수혜전망. 최근신규 CAPA 증설 을완료하며향후증가할수요대비완료 제품및매출비중 - 주요제품인 Vision Placement 가매출의 4% 를차지 - Dual TC Bonder 및 Laser 장비등다양한장비기타매출에포 함 ETC, 45.1% Vision Placement, 4.% EMI Shield Equipment, 14.9% 자료 : 한미반도체 (216 년기준 ) 영업실적추이 - 215년대만후공정업체투자감소로실적부진. 작년글로벌반도체후공정업체투자확대로매출회복 - 향후중국업체들의반도체관련투자가늘어날것으로예상하며이에동사장비수혜전망 ( 십억원 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) 25.5% 23.4% 19.3% 3% 25% 2% 15% 1% 5 7.% 5% 자료 : 한미반도체, NH 투자증권리서치본부 % 과거주가추이및주요이벤트 ( 원 ) 19, 17, 중화권반도체투자확대의직접적인수혜전망 15, 13, 11, 9, '16.3 '16.4 '16.5 '16.6 '16.7 '16.8 '16.9 '16.1 '16.11 '16.12 '17.1 '17.2 '17.3 자료 : DataGuide, NH투자증권리서치본부 51

52 피에스케이 (3198.KQ) 중국반도체굴기수혜전망 피에스케이는글로벌 1 위 Asher 업체로전세계신규 CAPA 증설및중국 반도체투자확대의수혜주가될전망. 또한자회사의실적개선으로중 장기성장모멘텀확보 국내장비업체중유일한글로벌 1 위 Asher 장비업체 반도체포토공정이후 PR(Photo Resists) 잔여물을제거하는 Asher 장비제품군을보유. 동사는 Asher 장비시장에서글로벌점유율 1 위를기록하고있음 최근경쟁사의 Asher 장비시장내지위가약해지고있어, 국내뿐만아니라해외시장에서의매출확대가전망 Dry Cleaning 및 Etch Back 장비로추가 Upside 확보 Dry Cleaning 장비의경우반도체세정장비로서 DRAM 미세공정전환및 3D NAND 향수요증가로추가매출확대예상 향후중국고객사투자확대의수혜를받을것으로예상되며신규고객사및수주확보시추가매출 Upside 기대 중국반도체후공정수요증가로자회사인 SEMIgear 수혜전망 한편동사연결매출의 1~ 15% 를차지하고있는자회사인 SEMIgear 의 WLP PKG Reflow 또한경쟁사대비낮은비용과줄어든공정수로고객이증가하고있어향후견조한실적시현예상 피에스케이 217 년실적은매출 1,916 억원, 영업이익 354 억원전망 Not Rated 현재가 ( 17/3/2) Company Report ,15 원 업종 반도체 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 247.십억원 발행주식수 ( 보통주 ) 2.3백만주 52주최고가 ( 16/1/5) 15,2원 최저가 ( 16/3/3) 8,52원 평균거래대금 (6일) 2,368백만원 배당수익률 (217E) 1.48% 외국인지분율 9.5% 주요주주금영 32.1% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) P 217E 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS ,94 1,353 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 피에스케이 PR Strip 장비 Analyst 이세철 2) , peter.lee@nhqv.com RA 서준현 2) , joon.seo@nhqv.com 자료 : 피에스케이, NH 투자증권리서치본부

53 기업개요 피에스케이는 199 년에설립, 1997 년 1월에코스닥에상장한업체. 반도체장비업체로감광액을건식제거하는 PR Strip 이동사핵심제품. 또한 Dry Cleaning, BUMP Descum, WLP PKG Reflow 등다양한반도체장비보유 최근 3D NAND 수요가높아지면서 PR Strip 장비수요가늘어날것으로전망. 특히 3D NAND 의적층단수가높아질수록공정이늘어나 3D NAND 향장비매출이지속적으로늘어날전망 Dry Cleaning 장비는반도체세정장비로서향후 DRAM 미세공정화및 3D NAND향수요증가로추가매출증가예상 동사연결매출의 1~ 15% 를차지하고있는 SEMIgear 의 WLP PKG Relflow 또한경쟁사대비비용과공정수를줄여향후실적에긍정적인영향을끼칠것으로전망 제품및매출비중 - 주요제품인 PR Strip 장비매출이동사전체매출의 62% 를 차지 그외 (Dry Cleaning, Reflow, 부품등 ), 38.% PR Strip, 62.% 자료 : 피에스케이 (216 년기준 ) 영업실적추이 - 고객사 3D NAND 투자확대에따라 PR Strip 장비수요증가전망. Dry Cleaning 장비또한 DRAM 미세공정전환시추가매출가능 - PR Strip 장비글로벌시장점유율 1위업체로국내사외에도다양한해외고객군보유. 향후중국반도체업체캐파증설시당사제품수요확대전망 ( 십억원 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) 16.3% 16.1% 11.8% 12.1% 18% 15% 12% 9% 6% 3% 자료 : 피에스케이, NH 투자증권리서치본부 % 과거주가추이및주요이벤트 ( 원 ) 16, 14, 12, 1, 8, 3D NAND 향및중국향중장기성장모멘텀확보 6, '16.3 '16.4 '16.5 '16.6 '16.7 '16.8 '16.9 '16.1 '16.11 '16.12 '17.1 '17.2 '17.3 자료 : DataGuide, NH 투자증권리서치본부 53

54 케이씨텍 (2946.KS) 중국디스플레이사업경험반도체로확장 케이씨텍은국내유일 CMP 장비업체. 3D NAND 기술본격화및중국 고객사향매출확대 ( 디스플레이 ) 로실적개선전망. 또한안정적인자회 사성장을통해추가 Upside 확보 국내유일의 CMP 장비및소재업체 케이씨텍은국내유일의 CMP (Chemical Mechanical Polishing) 장비업체로 CMP 공정에사용되는연마용 Slurry 또한공급하고있음. 디스플레이장비로는세정 (Wet Station) 과 PR 도포 (Coater) 장비보유 중국향디스플레이매출확대전망. 향후반도체소재도시장진출기대 디스플레이부문에서는 BOE, CSOT 를포함한중국고객사들로의매출확대전망. 또한 OLED 투자확대로올해견조한매출상승세를보일것으로예상 현재중국항반도체매출은미미하나, 세리아 (CeO2) 슬러리를기반으로중국에반도체소재시장진츨기대 자회사실적개선도실적개선에기여할전망 연결자회사로는클린룸및반도체인프라를건설하는 KCEnC 와폐가스를중화시키는 Scrubber 와 Gas Purifier 를공급하는 KPC 보유 최근반도체업체투자확대로호실적을기록하였고향후에도긍정적인실적개선흐름을보일것으로전망 217 년케이씨텍실적은매출 5,7 억원, 영업이익 63 억원전망 Not Rated 현재가 ( 17/3/2) Company Report ,95 원 업종 IT부품 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 465.8십억원 발행주식수 ( 보통주 ) 33.4백만주 52주최고가 ( 16/8/4) 18,15원 최저가 ( 16/12/5) 12,2원 평균거래대금 (6일) 1,642백만원 배당수익률 (217E) 1.8% 외국인지분율 17.6% 주요주주고석태외 3 인 39.% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 771 1,284 1,436 1,533 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 케이씨텍 CMP 장비 Analyst 이세철 2) , peter.lee@nhqv.com RA 서준현 2) , joon.seo@nhqv.com 자료 : 케이씨텍, NH 투자증권리서치본부

55 기업개요 케이씨텍은 1987 년에설립, 1997 년 11 월에코스피에상장한업체. 반도체장비, 소재및디스플레이장비, 재료등을주 요사업으로영위. 반도체평탄화를위한 CMP(Chemical Mechamical Polishing) 장비가주요제품이며 CMP 공정에사용되는연마용 Slurry 또한공급중. 디스플레이장비로는세정 (Wet Station) 과 PR 도포 (Coater) 장비보유 3D NAND 본격화시 CMP 로평탄화하거나단차를낮춰야하기때문에 CMP 공정증가전망. 이에동사주요제품인 CMP 장비및 CMP Slurry 수요증가예상 디스플레이장비또한중국향매출및수요확대, 업계 OLED 투자확대로견조한매출달성전망. 반도체및디스플레 이투자확대에따라실적기대감상승 연결자회사로클린룸및반도체인프라를건설하는 KCEnC 와폐가스를중화시키는 Scrubber 와 Gas Purifier 를공급하는 KPC 보유. 최근반도체업체투자확대로호실적을기록하였고향후에도실적개선기대 제품및매출비중 - 반도체및디스플레이장비매출이 52% 를차지 - 디스플레이장비매출비중 214 년 2% 에서 216 년 26% 로 상승 기타 & 관계사, 35.6% 반도체장비, 26.2% 소재, 12.4% 디스플레이장비, 25.8% 자료 : 케이씨텍 (216 년기준 ) 영업실적추이 - 3D NAND 투자확대로 CMP 장비및 CMP Slurry 매출증가전망 - 국내및중국고객사향디스플레이장비수주확대전망 4 1.9% ( 십억원 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 6 영업이익률 ( 우 ) % 11.1% 8.7% 15% 12% 9% 6% 3% 자료 : 케이씨텍, NH 투자증권리서치본부 % 과거주가추이및주요이벤트 ( 원 ) 2, 18, 16, 중국고객사증설효과및자회사실적개선기대 14, 12, 1, '16.3 '16.4 '16.5 '16.6 '16.7 '16.8 '16.9 '16.1 '16.11 '16.12 '17.1 '17.2 '17.3 자료 : DataGuide, NH투자증권리서치본부 55

56 동진쎄미켐 (529.KQ) 중국향반도체 / 디스플레이매출확대전망 동진쎄미켐은 3D NAND 향 KrF 용 PR 수요확대및중국고객사들의투 자확대로실적개선전망 Company Report 동신쎼미켐은국내유일의포토소재 (Photo Resist) 업체 동진쎄미켐은국내유일의반도체포토공정용 PR(Photo Resist) 소재업체로 KrF 용 PR 이주요제품 삼성전자, SK 하이닉스, LG 디스플레이등국내반도체및디스플레이선두공급업체를고객사로, 해외로는 BOE 를포함한중국 LCD 고객사를확보 3D NAND 및중국반도체추진으로 KrF 용 PR 수요증가예상 반도체미세공정이진행되면서파장폭이작은 ArF 용 PR 을사용했었음. 하지만 3D NAND 의경우계단공정등에 KrF 용 PR 을이용. 특히 3D NAND 가 32 단에서 48 단으로변화하면서계단공정이 5% 이상증가하게되어향후 KrF 용 PR 사용량증가전망. 중국향공정에서사용량증가예상 또한디스플레이부문은 BOE 를포함한중국고객사들의캐파증설로실적개선전망. 중화권 9 개지역에거점을확보하여향후중국고객사들이다변화되고생산이안정화되면동사매출증가에큰기여를할것으로예상 안정적인사업펀더멘탈로실적개선전망 작년감가상각정책변경과비우호적인환율영향으로이익률이크게낮아졌음. 하지만동진쎄미켐은반도체및디스플레이소재경쟁력이강한업체로, 향후감가상각비정책이정상화될시추가실적개선이가능할전망 217 년예상매출 8,812 억원영업이익 668 억원전망 Not Rated 현재가 ( 17/3/2) 8,6 원 업종 반도체 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 47.9십억원 발행주식수 ( 보통주 ) 5.1백만주 52주최고가 ( 16/7/26) 12,원 최저가 ( 16/5/9) 5,4원 평균거래대금 (6일) 6,7백만원 배당수익률 (217E).7% 외국인지분율 3.4% 주요주주동진홀딩스외 2 인 39.7% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 동진쎄미켐디스플레이기술 Analyst 이세철 2) , peter.lee@nhqv.com RA 서준현 2) , joon.seo@nhqv.com 자료 : 동진쎄미켐, NH 투자증권리서치본부

57 기업개요 동진쎄미켐은 1973 년에설립, 1999 년 12 월에코스닥에상장한업체. 반도체및디스플레이관련전자재료사업과산업 용기초소재인발포제사업을주로영위. 반도체재료의경우삼성전자, SK 하이닉스를고객사로, 디스플레이재료의경우 LG 디스플레이, 삼성디스플레이, 중국 BOE 등의고객사를확보 국내유일의반도체포토공정용 PR(Photo Resist) 소재업체로 KrF PR 이주력제품. 최근많은투자가일어나고있는 3D NAND 의경우계단공정등에 KrF PR 이이용되어수요증가전망 디스플레이부문은국내외 BOE 를포함한중국 LCD 고객사확보로안정적인매출성장예상. 중화권에 9 개의생산시 설을보유하여고객사생산능력확대와함께실적개선전망 작년감가상각정책변경과환율영향으로동사이익률이큰폭으로낮아짐. 안정적인펀다멘탈고려했을때향후감가상 각정책정상화시추가실적개선가능 제품및매출비중 - 국내전자재료매출비중 74.7% 로삼성전자및 SK하이닉스등고객사매출비중이큼 - 하지만최근중국고객사확보로해외매출비중 214년 18% 에서 216년 3분기 25% 로상승 국내정제유,.3% 해외전자재료, 25.% 국내전자재료, 74.7% 자료 : 동진쎄미켐 (216 년 3 분기기준 ) 영업실적추이 - 작년과도한감가상각으로영업이익률큰폭하락 - 고객사의 3D NAND 투자확대로동사주요제품인 KrF PR 사용량증가전망 ( 십억원 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 9 영업이익률 ( 우 ) % % 5.9% % 자료 : 동진쎄미켐, NH투자증권리서치본부 9% 8% 7% 6% 5% 4% 3% 2% 1% % 과거주가추이및주요이벤트 ( 원 ) 14, 12, 1, 3D NAND 향 KrF 용 PR 수요확대및중국고객사들의캐파증설로실적개선전망 8, 6, 4, '16.3 '16.4 '16.5 '16.6 '16.7 '16.8 '16.9 '16.1 '16.11 '16.12 '17.1 '17.2 '17.3 자료 : DataGuide, NH투자증권리서치본부 57

58 한솔케미칼 (1468.KS) 217 은 Cash Cow 가이끈다 Company Report 반도체라인가동률상승으로과산화수소출하량이본격적으로증가하기시작. 이외프리커서주 ) 와퀀텀닷소재의출하량증가, 테이팩스 인수효과로 217 년영업실적은꾸준히증가할전망 Cash Cow 제품 (H 2 O 2 ) 의출하량증가본격화 영업이익에서가장큰부분을차지하는과산화수소 (H 2 O 2 ) 의출하량이본격적으로증가하기시작. 반도체와디스플레이용과산화수소출하량은 216 년대비 217 년약 11% 늘어날전망. 특히, 기술적난이도가가장높은반도체용과산화수소출하량이약 26% 크게늘어연간영업이익증가효과가뚜렷할것으로판단됨 반도체산업호황으로 DRAM 신규투자가늘고, 3D NAND 생산량이확대되어과산화수소사용량이빠르게증가중. 217 년 1 삼성전자 #17 라인증설이예상되고, 평택 #18 라인은상반기시험가동을시작할것으로보여과산화수소사용량증가전망 층구조 3D NAND 라인가동률이상승하고있으며, 3 하이닉스 3D NAND 생산라인에도동사제품신규로적용될것으로전망되는등고객다변화를통한출하량증가까지동시에진행되고있음 Rising Star 제품의완만한출하량증가 Cash Cow 이외에도프리커서 (Precursor) 와퀀텀닷 (Quantom Dot, 이하 QD) 소재출하량증가, 테이팩스 ( 주 ) 인수로꾸준한성장이가능할전망 1 시장관심이높은퀀텀닷소재는기능향상으로 1 분기출하량증가와단가인상이가능할전망. QD TV 기능향상은동사의 QD 소재개량을통해진행되기때문에 OLED TV 가본격화되기이전에는 QD 소재사용량이늘어날것으로판단. 2 Precursor 의경우신규제품출시에따른매출액확대가예상되며, 3 테이팩스 ( 주 ) 인수로연간영업이익증가가예상됨 주 ) 프리커서 : 반도체회로위에여러화합물을균일하게증착하는유기금속화합물 연간영업이익의지속적증가전망 Buy ( 유지 ) 목표주가 12,원 ( 유지 ) 현재가 ( 17/3/2) 75,6원 업종 화학 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 853.9십억원 발행주식수 ( 보통주 ) 11.3백만주 52주최고가 ( 16/9/1) 92,4원 최저가 ( 16/3/22) 54,4원 평균거래대금 (6일) 4,29백만원 배당수익률 (217E) 1.2% 외국인지분율 14.7% 주요주주 조동혁외 4인 15.% KB 자산운용 14.9% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%) P 217E 218F 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 3,23 4,798 6,185 6,95 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 ( 억원 ) 1,2 1, 8 영업이익 829 1,17 1, '14 '15 '16 '17E '18F Analyst 황유식 2) , ys.hwang@nhqv.com 자료 : 한솔케미칼, NH 투자증권리서치본부

59 한솔케미칼 그림 1. 반도체 + 디스플레이용과산화수소출하량 11% 증가 그림 2. 반도체용과산화수소수출하량 26% 증가 ( 천톤 ) 85 반도체 + 디스플레이용과산화수소수출하량 ( 천톤 ) 45 반도체용과산화수소수출하량 8 11% 증가 4 26% 증가 '16 '17E 2 '16 '17E 자료 : 한솔케미칼, NH 투자증권리서치본부 자료 : 한솔케미칼, NH 투자증권리서치본부 그림 3. TAPEX 연간실적추이 ( 억원 ) ( 억원 ) 영업이익 ( 좌 ) 매출액 ( 우 ) 16 1, '12 '13 '14 '15 '16 '17E 1,2 1,15 1,1 1,5 1, 95 9 자료 : TAPEX, NH 투자증권리서치본부 그림 4. 한솔케미칼 PER Band 그림 5. 한솔케미칼 PBR Band (won) 14, Price 4.x 8.x 12, 12.x 16.x 2.x 1, 8, 6, 4, 2, '11.1 '12.1 '13.1 '14.1 '15.1 '16.1 자료 : Dataguide, NH투자증권리서치본부 (won) 12, Price.4x 1.3x 2.2x 3.1x 4.x 1, 8, 6, 4, 2, '11.1 '12.1 '13.1 '14.1 '15.1 '16.1 자료 : Dataguide, NH투자증권리서치본부 59

60 한솔케미칼 표 1. 한솔케미칼연간실적전망 ( 단위 : 십억원, 원, 배, %) P 217E 218F 매출액 - 수정후 수정전 변동률 영업이익 - 수정후 수정전 변동률 영업이익률 ( 수정후 ) EBITDA ( 지배지분 ) 순이익 EPS - 수정후 3,23. 4,798 6,185 6,95 - 수정전 - - 6,372 7,681 - 변동률 PER PBR EV/EBITDA ROE 주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 표 2. 한솔케미칼분기실적추이 ( 단위 : 억원, %) 1Q16 2Q16 3Q16 4Q16P 1Q17E 2Q17F 3Q17F 4Q17F P 217E 매출액 1, 1,77 1,32 1,229 1,44 1,476 1,495 1,443 3,68 4,69 5,818 영업이익 ,17 %OP 19.8% 19.7% 2.% 12.8% 18.1% 19.2% 19.7% 12.8% 13.3% 18.% 17.5% 세전이익 지배기업순이익 YOY(%) 매출액 13% 16% 39% 32% 4% 37% 15% 17% 9% 25% 26% 영업이익 67% 55% 77% 82% 28% 33% 13% 17% 74% 69% 23% 세전이익 112% 41% 73% 14% -4% 56% 22% 51% 71% 82% 25% 지배기업순이익 73% -7% 39% 298% -21% 114% 35% 9% 59% 58% 3% QOQ(%) 매출액 8% 8% 21% -6% 14% 5% 1% -3% 영업이익 13% 7% 23% -4% 62% 12% 4% -38% 세전이익 416% -32% 31% -48% 16% 11% 2% -35% 지배기업순이익 468% -45% 75% -27% 13% 47% 11% 3% 주 : IFRS 연결기준자료 : NH 투자증권리서치본부전망 6

61 한솔케미칼 STATEMENT OF COMPREHENSIVE INCOME Valuation / Profitability / Stability ( 십억원 ) 215/12A 216/12P 217/12E 218/12F 215/12A 216/12P 217/12E 218/12F 매출액 PER( 배 ) 증감률 (%) PBR( 배 ) 매출원가 PCR( 배 ) 매출총이익 PSR( 배 ) Gross 마진 (%) EV/EBITDA( 배 ) 판매비와일반관리비 EV/EBIT( 배 ) 영업이익 EPS( 원 ) 3,23 4,798 6,185 6,95 증감률 (%) BPS( 원 ) 21,427 24,645 29,25 34,574 OP 마진 (%) SPS( 원 ) 32,583 4,86 51,513 53,985 EBITDA 자기자본이익률 (ROE, %) 영업외손익 총자산이익률 (ROA, %) 금융수익 ( 비용 ) 투하자본이익률 (ROIC, %) 기타영업외손익 -1 4 배당수익률 (%) 종속, 관계기업관련손익 배당성향 (%) 세전계속사업이익 총현금배당금 ( 십억원 ) 법인세비용 보통주주당배당금 ( 원 ) 8 1, 1, 1, 계속사업이익 순부채 ( 현금 )/ 자기자본 (%) 당기순이익 총부채 / 자기자본 (%) 증감률 (%) 이자발생부채 Net 마진 (%) 유동비율 (%) 지배주주지분순이익 총발행주식수 ( 백만주 ) 비지배주주지분순이익 액면가 ( 원 ) 5, 5, 5, 5, 기타포괄이익 주가 ( 원 ) 62,1 83,3 75,6 75,6 총포괄이익 시가총액 ( 십억원 ) STATEMENT OF FINANCIAL POSITION CASH FLOW STATEMENT ( 십억원 ) 215/12A 216/12P 217/12E 218/12F ( 십억원 ) 215/12A 216/12P 217/12E 218/12F 현금및현금성자산 영업활동현금흐름 매출채권 당기순이익 유동자산 유 / 무형자산상각비 유형자산 종속, 관계기업관련손익 투자자산 외화환산손실 ( 이익 ) 3 비유동자산 Gross Cash Flow 자산총계 운전자본의증가 ( 감소 ) 단기성부채 투자활동현금흐름 매입채무 유형자산감소 유동부채 유형자산증가 (CAPEX) 장기성부채 투자자산의매각 ( 취득 ) 장기충당부채 Free Cash Flow 비유동부채 Net Cash Flow 부채총계 재무활동현금흐름 자본금 자기자본증가 자본잉여금 부채증감 이익잉여금 현금의증가 비지배주주지분 기말현금및현금성자산 자본총계 기말순부채 ( 순현금 )

62 에스에프에이 (5619.KQ) 중국디스플레이산업발전의조력자 Company Report 중국패널업체들당분간대형 LCD 와중소형 OLED 투자병행할것으로예상. 이는에스에프에이수주확대기회로작용할것 217 년중국디스플레이수주전망낙관적 217 년중국패널업체들 LCD 와중소형 OLED 투자병행할것으로보이며, 이에전체투자규모 (y-y) 도확대될전망 우선 LCD 1 세대생산시설투자확대로에스에프에이물류장비수요확대전망되는상황. 실제로동사는지난 4 분기에 BOE B9 1 세대향물류장비수주 (371 억원 ) 받은상황. 향후 CSOT, HKC 등 1 세대 LCD 투자본격집행시동사수혜받을가능성높다고판단. 이는 CSOT, HKC 등에동사물류장비납품한경험이긍정적으로작용할것으로예상하기때문 중소형 OLED 신규생산시설투자확대로에스에프에이공정장비수요확대예상되는상황. 동사는중국 OLED 패널업체인 Truly, Visionox, Royole 등으로부터수주확보한상황. 향후투자확대여지가큰중국중소형 OLED 패널업체들로부터신뢰성을확보하고있어수주전망긍정적이라고판단. 추가로 216 년 12 월 OLED 증착기경쟁업체인에스엔유인수함으로써증착기시장내지위강화. 시장조사업체 IHS 에따르면에스에프에이 / 에스엔유진영 OLED 증착기글로벌점유율 217 년 9% 218 년 19% 로확대되어글로벌 2 위될것으로전망 디스플레이장비업종톱픽유지 에스에프에이를디스플레이장비업종톱픽으로유지. 이는 217 년국내외주요패널업체중소형 OLED 투자수혜, 중국패널업체대형 LCD 투자효과, OLED 증착기입지강화등을예상하기때문. 특히 OLED 핵심공정장비인 6 세대증착기수주성공시 Multiple expansion 가능할전망 중국디스플레이산업투자규모높은수준유지될전망 Buy ( 유지 ) 목표주가 ㅇㅇㅎ 9,원 ( 유지 ) 현재가 ( 17/3/2) 65,3원 업종 디스플레이 KOSPI / KOSDAQ 2,157.1 / 시가총액 ( 보통주 ) 1,172.4십억원 발행주식수 ( 보통주 ) 18.백만주 52주최고가 ( 17/1/25) 72,3원 최저가 ( 16/6/27) 48,15원 평균거래대금 (6일) 7,42백만원 배당수익률 (217E) 1.74% 외국인지분율 23.4% 주요주주 디와이홀딩스외 4 인 36.1% 삼성디스플레이 1.2% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 218F 매출액 526 1,32 1,541 1,614 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 1,717 3,948 7,16 7,85 증감률 PER PBR EV/EBITDA ROE 부채비율 순차입금 단위 : 십억원, %, 원, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : NH 투자증권리서치본부전망 ( 백만달러 ) 중국디스플레이산업투자 (Array 장비만포함 ) 6, 4, 2, E 218F Analyst 고정우 2) , j.ko@nhqv.com 주 : Array 장비만포함자료 : IHS, NH 투자증권리서치본부

63 에스에프에이 STATEMENT OF COMPREHENSIVE INCOME Valuation / Profitability / Stability ( 십억원 ) 215/12 216/12 217/12E 218/12F 215/12 216/12 217/12E 218/12F 매출액 526 1,32 1,541 1,614 PER( 배 ) 증감률 (%) PBR( 배 ) 매출원가 418 1,126 1,321 1,379 PCR( 배 ) 매출총이익 PSR( 배 ) Gross 마진 (%) EV/EBITDA( 배 ) 판매비와일반관리비 EV/EBIT( 배 ) 영업이익 EPS( 원 ) 1,717 3,948 7,16 7,85 증감률 (%) BPS( 원 ) 27,645 3,55 36,547 43,278 OP 마진 (%) SPS( 원 ) 29,3 73,56 85,817 89,889 EBITDA 자기자본이익률 (ROE, %) 영업외손익 총자산이익률 (ROA, %) 금융수익 ( 비용 ) 투하자본이익률 (ROIC, %) 기타영업외손익 배당수익률 (%) 종속, 관계기업관련손익 배당성향 (%) 세전계속사업이익 총현금배당금 ( 십억원 ) 법인세비용 보통주주당배당금 ( 원 ) 42 1,12 1,15 1,15 계속사업이익 순부채 ( 현금 )/ 자기자본 (%) 당기순이익 총부채 / 자기자본 (%) 증감률 (%) 이자발생부채 Net 마진 (%) 유동비율 (%) 지배주주지분순이익 총발행주식수 ( 백만 ) 비지배주주지분순이익 1 3 액면가 ( 원 ) 기타포괄이익 -1 주가 ( 원 ) 49,45 64,5 65,3 65,3 총포괄이익 시가총액 ( 십억원 ) 888 1,158 1,172 1,172 STATEMENT OF FINANCIAL POSITION CASH FLOW STATEMENT ( 십억원 ) 215/12 216/12 217/12E 218/12F ( 십억원 ) 215/12 216/12 217/12E 218/12F 현금및현금성자산 영업활동현금흐름 매출채권 당기순이익 유동자산 ,1 + 유 / 무형자산상각비 유형자산 종속, 관계기업관련손익 2 투자자산 외화환산손실 ( 이익 ) -1 비유동자산 Gross Cash Flow 자산총계 1,189 1,371 1,515 1,658 - 운전자본의증가 ( 감소 ) 단기성부채 투자활동현금흐름 매입채무 유형자산감소 유동부채 유형자산증가 (CAPEX) 장기성부채 투자자산의매각 ( 취득 ) 장기충당부채 Free Cash Flow 비유동부채 Net Cash Flow 부채총계 재무활동현금흐름 자본금 자기자본증가 자본잉여금 부채증감 이익잉여금 현금의증가 비지배주주지분 기말현금및현금성자산 자본총계 기말순부채 ( 순현금 )

64 해외기업분석 : 미국 Applied Materials (AMAT.US) 높은이익모멘텀지속될전망 Company Report D NAND 로의빠른기술전환추세와모바일 OLED 디스플레이투자증가세로높은실적모멘텀지속. 중국의메모리및디스플레이생산장비에대한견고한수요로 2 분기이익성장기대감유효 반도체및디스플레이생산장비전문기업 Applied Materials(1 월결산법인 ) 는다양한소재엔지니어링기술을보유한반도체 디스플레이장비제조기업으로그외기타서비스및소프트웨어도제공. 주요핵심고객은반도체칩및디스플레이제조사 216 년회계연도 (215 년 11 월 ~ 216 년 1 월 ) 기준사업부문별매출비중은 1) 반도체시스템 64%(+12.% y-y), 2) Applied Global Services 24%(+5.8% y-y), 3) 디스플레이및관련시장 11%(+27.8% y-y), 4) 기타 1%(+18.% y-y) 임 사상최대순이익기록하는등높은이익모멘텀지속 217 년회계연도 1 분기 (216 년 11 월 ~ 217 년 1 월 ) 매출 32.8 억달러 (+45.2% y-y; 시장컨센서스대비.2% 상회 ), 매출총이익 14.5 억달러 (+51.% y-y; 시장컨센서스대비.9% 하회 ), 순이익 7. 억달러 (+145.8% y-y; 시장컨센서스대비 3.8% 상회 ) 시현. 신규수주는 42.4 억달러 (+39.7% q-q, +86.2% y-y) 로사상최대수주기록경신. 3D NAND 로의빠른기술전환추세와모바일 OLED 디스플레이투자증가등이실적과신규수주모멘텀견인 2 분기매출가이던스로 34.5 억 ~ 36. 억달러 (+43.8% y-y) 제시해높은이익성장기대감유효 3D NAND 및모바일 OLED 투자확대기조지속될전망 메모리반도체업체들의기술업그레이드 (3D NAND) 및 capa( 생산능력 ) 확장에따른장비수요증가와모바일기기용 OLED 및대형 LCD TV 수요증가에따른투자확대추세로 217 년에도이익성장세견고하게유지될전망 Not Rated 국내비교기업 : 테스 (9561.KQ) 현재가 ( 17/3/2) 39.6 달러 업종 정보통신 시장 NASDAQ NASDAQ COMPOSITE 5,91.53 시가총액 ( 보통주 ) 427억달러 (47.6조원) 발행주식수 ( 보통주 ) 1,131.백만주 52주최고가 39.8달러 최저가 19.5달러 배당수익률 (217E) 1.1% 주요주주 THE VANGUARD GROUP 6.6% STATE STREET GLOBAL ADV. 4.7% 주가상승률 3개월 6개월 12개월 절대수익률 (%) 상대수익률 (%p) E 218F 매출액 9,659 1,825 13,18 13,773 증감률 영업이익 1,693 2,152 3,274 3,421 영업이익률 ( 지배지분 ) 순이익 1,377 1,721 2,631 2,817 EPS 증감률 PER PBR ROE 순차입금 ,335-1,939-4,323 단위 : 백만달러, %, 달러, 배주 1: EPS, PER, PBR, ROE 는지배지분기준자료 : Bloomberg 중국의메모리및디스플레이생산장비에대한견고한수요가 2 분기에도이어질것으로전망됨. 이에따라중국지역매출성장모멘텀 (1 분기 : +47% q-q, +31% y-y) 도높은수준을유지할수있을것으로기대 Analyst 한위 2) , weihan@nhqv.com

65 기업개요 Applied Materials(1 월결산법인 ) 는다양한소재엔지니어링기술을보유한반도체 디스플레이장비제조기업 반도체칩제조에필요한다양한장비 ( 증착, 식각, 이온주입, 급속열처리공정 (RTP), 화학적기계연마 (CMP), 검사및계측등 ) 를생산하고있으며, 반도체장비및 Fab 성능 생산성향상을위한통합솔루션 (Fab 컨설팅, 오토메이션소 프트웨어등 ) 도제공 LCD 및 OLED 디스플레이생산에필요한장비도생산하고있으며핵심제품및기술로 1) Array 테스트, 2) 결함리뷰, 3) CVD( 화학증착 ), 4) PVD( 물리기상증착 ), 5) 플렉서블테크놀러지제공 핵심고객사는 TSMC(216 년매출비중 16%), 삼성전자 (13%), 마이크론테크놀러지 (11%), 인텔 (11%) 제품및매출비중 - 216년회계연도 (215년 11월 _ 216년 1월 ) 연간실적은매출 18.3억달러 (+12.1% y-y), 매출총이익 45.1억달러 (+14.1% y-y), 영업이익 21.5억달러 (+27.1% y-y), 순이익 17.2억달러 (+25.% y-y) 기록 - 216년회계연도기준사업부문별매출비중은 1) 반도체시스템 64%(+12.% y-y), 2) Applied Global Services 24%(+5.8% y-y), 3) 디스플레이및관련시장 11%(+27.8% y-y), 4) 기타 1%(+18.% y-y) 임 디스플레이및관련시장, 11% 기타, 1% Applied Global Services, 24% 반도체시스템, 64% 주 : 216 년연간기준자료 : Bloomberg, NH 투자증권리서치본부 영업실적추이 - 216년회계연도사업부문별매출은반도체시스템 68.7억달러 (+12.% y-y), Applied Global Services 25.9억달러 (+5.8% y-y), 디스플레이및관련시장 12.1억달러 (+27.8% y-y), 기타 1.6억달러 (+18.% y-y) 시현 ( 백만달러 ) 15, 1, 매출 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) , 1 5 '13 '14 '15 '16 '17E '18F 자료 : Bloomberg, NH 투자증권리서치본부 과거주가추이 ( 달러 ) ( 만주 ) 45 25, , , 2 1, , 5 '12.3 '12.6 '12.9 '12.12 '13.3 '13.6 '13.9 '13.12 '14.3 '14.6 '14.9 '14.12 '15.3 '15.6 '15.9 '15.12 '16.3 '16.6 '16.9 '16.12 '17.3 자료 : Bloomberg, NH 투자증권리서치본부 65

66 Applied Materials STATEMENT OF COMPREHENSIVE INCOME ( 백만달러 ) E 218F 매출액 9,659 1,825 13,18 13,773 매출총이익 3,952 4,511 5,97 6,166 영업이익 1,693 2,152 3,274 3,421 EBITDA 2,64 2,541 3,661 3,857 이자비용 세전이익 1,598 2,13 3,21 3,376 당기순이익 1,377 1,721 2,631 2,817 희석주당순이익 PER, PBR 추이 ( 배 ) PER( 좌 ) PBR( 우 ) '13 '14 '15 '16 '17E '18F ( 배 ) PROFITABILITY & STABILITY (%) E 218F EBITDA/ 매출 영업이익률 순이익률 총자산이익률 자기자본이익률 투하자본이익률 부채비율 유동비율 STATEMENT OF FINANCIAL POSITION ( 백만달러 ) 현금및현금성자산 1,711 3,2 4,797 3,46 매출채권 1,633 1,67 1,739 2,279 유동자산 5,642 6,967 9,261 8,353 유형자산 7,646 8,919 11,244 1,697 총무형자산 자산총계 12,43 13,174 15,38 14,588 단기성차입금 1,2 2 유동부채 2,443 2,823 3,798 3,632 장기성차입금 1,946 1,947 3,342 3,143 기타비유동부채 부채총계 4,955 5,36 7,695 7,371 자본총계 7,88 7,868 7,613 7,217 CASH FLOW STATEMENT ( 백만달러 ) 영업활동으로인한현금흐름 623 1,8 1,163 2,466 투자활동으로인한현금흐름 자본적지출 (CAPEX) 재무활동으로인한현금흐름 ,432 - 배당금지급 현금자산순증 319 1,291 1,795-1,391 Unlevered Free Cash Flow 68 1, ,16 잉여현금흐름수익률 영업활동및투자활동현금흐름추이 ( 백만달러 ) 현금흐름 ( 영업활동, 좌 ) ( 백만달러 ) 3, 현금흐름 ( 투자활동, 우 ) 2, 현금흐름 ( 재무활동, 우 ) 2, -2, 1, -4, -6, '11 '12 '13 '14 '15 '16 (%) 영업이익률 ( 좌 ) (%) 4 자기자본이익률 ( 좌 ) 3 순이익률 ( 우 ) '13 '14 '15 '16 '17E '18F 영업이익률, 순이익률, 자기자본이익률추이 순부채및부채비율추이 ( 백만달러 ) Net Debt( 좌 ) 부채비율 ( 우 ) (%) 1, , 8-2, 6-3, 4-4, 2-5, '13 '14 '15 '16 '17E '18F 자료 : Bloomberg, NH 투자증권리서치본부 66

67 해외기업분석 : 미국 Lam Research (LRCX.US) 변함없을 3D NAND 투자확대기조 Company Report 메모리반도체생산에필요한증착 식각장비선도기업. 핀펫 (FinFET) 공정, 3D NAND 구조, 멀티플패터닝기술적용확대로장비수요꾸준하게증가. 상반기메모리반도체장비수요견고하게유지될전망 웨이퍼제조장비선도기업 Lam Research(6 월결산법인 ) 는웨이퍼제조장비및서비스를공급하는글로벌선도기업. 동사는박막증착, 플라즈마식각, 감광막제거및웨이퍼세정등다양한포트폴리오의시장선도제품제공 메모리반도체장비수요증가로두자릿수이익성장 217 년회계연도 2 분기 (216 년 1 월 ~ 12 월 ) 매출 18.8 억달러 (+32.% y-y; 시장컨센서스대비 2.1% 상회 ), 매출총이익 8.5 억달러 (+3.6% y- y; 시장컨센서스부합 ), 순이익 3.3 억달러 (+49.3% y-y; 시장컨센서스대비 1.7% 하회 ) 시현. 이는 DRAM 메모리반도체생산장비에대한고객사투자증가에기인 2 분기제품출하금액 19.2 억달러 (+12.6% q-q; +49.3% y-y) 기록한가운데사업부문별시스템출하비중은메모리 61%(+5%p q-q), 파운드리 31%(-5%p q-q), 로직 기타 8% 차지. 국가별출하비중은대만 37%, 한국 25%, 일본 12%, 중국 8%, 미국 8%, 유럽 6%, 동남아시아 4% 임 견고한 3D NAND 장비수요지속될전망 메모리반도체생산과정중핀펫 (FinFET) 공정, 3D NAND 구조, 멀티플패터닝기술적용확대추세로동사의증착및식각장비에대한총유효시장규모는꾸준한성장세보일전망. 동사는올해웨이퍼팹장비 (WFE) 시장규모를약 375 억달러 (216 년 346 억달러 ) 규모로예상하고있는가운데 DRAM 및 NAND 장비수요는올해두자릿수성장전망 Not Rated 국내비교기업 : 테스 (9561.KQ) 현재가 ( 17/3/2) 달러 업종 정보통신 시장 NASDAQ NASDAQ COMPOSITE 5,91.53 시가총액 ( 보통주 ) 27억달러 (23.조원) 발행주식수 ( 보통주 ) 16.백만주 52주최고가 127.7달러 최저가 72.달러 배당수익률 (217E) 1.2% 주요주주 THE VANGUARD GROUP 9.4% FIDELITY MANAGEMENT 6.7% 주가상승률 3개월 6개월 12개월 절대수익률 (%) 상대수익률 (%p) E 218F 매출액 5,259 5,886 7,847 7,828 증감률 영업이익 788 1,74 1,966 1,84 영업이익률 ( 지배지분 ) 순이익 ,428 1,426 EPS 증감률 PER PBR ROE 순차입금 -1,474-2,287-3,425-3,792 단위 : 백만달러, %, 달러, 배주 1: EPS, PER, PBR, ROE 는지배지분기준자료 : Bloomberg 견고한메모리반도체장비수요가올해상반기에도이어질것이라는긍정적인전망을기반으로동사는 217 년회계연도 3 분기 (217 년 1~ 3 월 ) 출하금액및매출가이던스각각 23.5 억달러 (+/- 7,5 만달러 ), 2.1 억달러 (+/- 7,5 만달러 ) 제시. 이는시장컨센서스를상회하는긍정적인전망 Analyst 한위 2) , weihan@nhqv.com

68 기업개요 Lam Research(6 월결산법인 ) 는박막증착, 플라즈마식각, 감광막제거및웨이퍼세정등다양한제품및서비스를제 공하고있으며동사의제품은전공정및첨단패키징공정에서활용되고있음. 세부적인서비스로는시스템설치, 생산안정화, 신기술업그레이드에서부터장비수명이끝난후자산관리에이르기까지모든부분에서서비스제공 주요제품으로증착 ( 금속필름, 유전체필름, 하드마스크필름 ), 식각 ( 도체식각, 유전체식각, 실리콘관통전극식각 ), 스트립및세정 ( 감광스트립, 스핀습식세정, 플라즈마베벨세정 ) 장비생산 216 년회계연도 (215 년 7 월 ~ 216 년 6 월 ) 기준지역별매출비중은대만 25%, 한국 18%, 중국 18%, 일본 17%, 아 시아 태평양 1%, 미국 8%, 유럽 4% 임 지역별제품출하비중 - 217년회계연도상반기 (216년 7월 ~ 12월 ) 매출 35.1억달러 (+16.2% y-y), 매출총이익 15.6억달러 (+15.9% y-y), 순이익 6. 억달러 (+16.6% y-y) 시현 유럽, 6% 동남아시아, 7% 미국, 8% 대만, 32% - 217년회계연도상반기 (216년 7월 ~ 12월 ) 제품출하금액 36.3억달러기록한가운데국가별출하비중은대만 32%, 한국 26%, 일본 12%, 중국 9%, 미국 8%, 동남아시아 7%, 유럽 중국, 9% 일본, 12% 한국, 26% 6% 임 주 : 217 년회계연도상반기출하금액기준자료 : Bloomberg, NH 투자증권리서치본부 영업실적추이 - 글로벌파운드리고객사의 capa( 생산능력 ) 및기술투자확대, DRAM 메모리반도체생산장비에대한투자증가가 217년회계연도상반기실적성장견인 ( 백만달러 ) 1, 8, 6, 4, 매출 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) , 5 '13 '14 '15 '16 '17E '18F 자료 : Bloomberg, NH 투자증권리서치본부 과거주가추이 ( 달러 ) '12.3 '12.6 '12.9 '12.12 '13.3 '13.6 '13.9 '13.12 '14.3 '14.6 '14.9 '14.12 '15.3 '15.6 '15.9 '15.12 '16.3 '16.6 '16.9 '16.12 ( 만주 ) 3,5 3, 2,5 2, 1,5 1, 5 자료 : Bloomberg, NH 투자증권리서치본부 68

69 Lam Research STATEMENT OF COMPREHENSIVE INCOME ( 백만달러 ) E 218F 매출액 5,259 5,886 7,847 7,828 매출총이익 2,284 2,619 3,577 3,554 영업이익 788 1,74 1,966 1,84 EBITDA 1,66 1,365 2,287 2,234 이자비용 세전이익 ,941 1,843 당기순이익 ,428 1,426 희석주당순이익 PER, PBR 추이 ( 배 ) PER( 좌 ) PBR( 우 ) '13 '14 '15 '16 '17E '18F ( 배 ) PROFITABILITY & STABILITY (%) E 218F EBITDA/ 매출 영업이익률 순이익률 총자산이익률 자기자본이익률 투하자본이익률 부채비율 유동비율 STATEMENT OF FINANCIAL POSITION ( 백만달러 ) 현금및현금성자산 1,162 1,453 1,52 5,39 매출채권 ,94 1,262 유동자산 3,794 4,784 6,271 9,215 유형자산 4,724 5,633 7,249 1,32 총무형자산 자산총계 7,25 7,993 9,365 12,272 단기성차입금 , 유동부채 1,44 1,582 2,631 2,42 장기성차입금 976 1,1 1,243 3,591 기타비유동부채 부채총계 2,761 2,964 4,262 6,377 자본총계 4,489 5,3 5,13 5,895 CASH FLOW STATEMENT ( 백만달러 ) 영업활동으로인한현금흐름 ,35 투자활동으로인한현금흐름 , 자본적지출 (CAPEX) 재무활동으로인한현금흐름 ,595 - 배당금지급 현금자산순증 ,538 Unlevered Free Cash Flow ,16 잉여현금흐름수익률 영업활동및투자활동현금흐름추이 ( 백만달러 ) 현금흐름 ( 영업활동, 좌 ) ( 백만달러 ) 1,5 현금흐름 ( 투자활동, 우 ) 2, 현금흐름 ( 재무활동, 우 ) 1, 1, 5-1, -2, '11 '12 '13 '14 '15 '16 (%) 영업이익률 ( 좌 ) (%) 3 자기자본이익률 ( 좌 ) 3 순이익률 ( 우 ) '13 '14 '15 '16 '17E '18F 영업이익률, 순이익률, 자기자본이익률추이 순부채및부채비율추이 ( 백만달러 ) Net Debt( 좌 ) 부채비율 ( 우 ) (%) 1, , 8-2, 6-3, 4-4, 2-5, '13 '14 '15 '16 '17E '18F 자료 : Bloomberg, NH 투자증권리서치본부 69

70 해외기업분석 : 미국 Amkor Technology (AMKR.US) 하반기이익회복을기대하자 Company Report 일본최대반도체패키징및테스트기업 J-Devices 인수 합병에따른외형성 장과견고한 SiP(system-in-package) 수요증가로 4 분기사상최대실적시 현. 1 분기실적은스마트폰재고조정영향으로부진할전망 글로벌반도체후공정 (OSAT) 전문기업으로자동차향으로도영역확장 Amkor Technology(12월결산법인 ) 는글로벌반도체후공정 (OSAT) 전문기업으로다양한 cost-effective 솔루션및서비스제공. 반도체후공정중에서도 1) fine pitch bumping, 2) advanced flip chip, 3) wafer-level processing 등분야에서기술경쟁력보유 지난 215 년 12 월 3 일일본최대반도체패키징및테스트서비스기업 J-Devices 지분 34.3% 추가인수하며 1% 자회사편입. 215 년연간기준 J-Devices 매출은 8 억달러수준으로자동차, 산업재, 소비자엔드마켓등시장에집중 자동차및중화권매출증가로 16 년 4 분기사상최대순이익시현 216 년회계연도 4 분기매출 1.2 억달러 (+52.3% y-y; 시장컨센서스대비 1.5% 하회 ), 매출총이익 2.3 억달러 (+121.4% y-y; 시장컨센서스대비 4.7% 상회 ), 순이익 1. 억달러 ( 흑자전환 ; 시장컨센서스대비 58.1% 상회 ) 기록하며사상최대분기실적시현. 이는 215 년 12 월 J-Devices 인수 합병에따라외형성장했고자동차용반도체패키지 테스트및 SiP(system-in-package) 수요가견고했기때문 중화권매출호조세가이어진점고무적으로평가되며, 규모의경제달성으로매출총이익률전년대비 6.9%p 상승한 22.2% 기록 17 년 1 분기스마트폰재고조정영향으로하반기이후이익개선될전망 사물인터넷디바이스확대, 자동차전장화추세강화등긍정적인산업트렌드속비용절감및디바이스소형화를위한 SiP 수요확대로꾸준한외형성장과장기적인수혜기대 다만상반기스마트폰재고조정영향으로 1 분기매출둔화와마진감소불가피하다는점에서변동성확대가능성존재. 실제동사는 217 년 1 분기가이던스로매출 8.6 억 ~ 9.4 억달러 (-15.9%~ -8.% q-q), 매출총이익률 13~ 17% 제시. 상반기스마트폰시장계절적비수기라는점까지감안했을때단기실적불확실성확대가능성존재. 상반기스마트폰재고조정마무리이후인하반기부터이익회복가시화될전망 Not Rated 국내비교기업 : SFA 반도체 (3654.KQ) 현재가 ( 17/3/2) 11.2 달러 업종 정보통신 시장 NASDAQ NASDAQ COMPOSITE 5,91.53 시가총액 ( 보통주 ) 27억달러 (3.조원) 발행주식수 ( 보통주 ) 239.백만주 52주최고가 12.5달러 최저가 5.3달러 배당수익률 (217E) -- 주요주주 JAMES J. KIM 22.2% 915 INVESTMENTS, L.P. 2.8% 주가상승률 3개월 6개월 12개월 절대수익률 (%) 상대수익률 (%p) E 218F 매출액 2,885 3,894 4,69 4,242 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 증감률 PER PBR ROE 순차입금 1, 단위 : 백만달러, %, 달러, 배주 1: EPS, PER, PBR, ROE 는지배지분기준자료 : Bloomberg Analyst 한위 2) , weihan@nhqv.com

71 기업개요 Amkor Technology(12 월결산법인 ) 는글로벌반도체후공정 (OSAT, outsourced semiconductor assembly and test) 전문기업. 반도체패키징및테스트서비스로 1) 반도체웨이퍼범프, 2) wafer probe, 3) wafer backgrind, 4) 패키지디자인, 5) 패키징, 6) 테스트등다양한솔루션제공 지난 215 년 12 월 3 일일본최대반도체패키징및테스트서비스기업 J-Devices 인수로자동차반도체 OSAT 부 문세계 1 위매출달성추정 (215 년기준 7.5 억달러 ) 반도체후공정중에서도 1) fine pitch bumping, 2) advanced flip chip, 3) wafer-level processing 등분야에서기술경 쟁력보유. 차세대반도체패키지기술인 SWIFT(silicon wafer integrated fan-out) 기술및 SLIM(silicon-less integrated module) 솔루션, TSV(through silicon via) 및 silicon interposers 등기술개발위해고객사와협력중 제품및매출비중 - 216년회계연도연간실적은매출 38.9억달러 (+35.% y-y), 매출총이익 7.억달러 (+45.1% y-y), 순이익 1.6억달러 (+221.3% y-y) 기록. 영업현금흐름은 7.3억달러로전년대비 24.6% 증가 - 응용산업별로통신과자동차시장이각각 44%, 25% 로가장큰매출비중차지 컴퓨팅, 7% 네트워킹, 1% 컨슈머, 14% 자동차, 25% 통신, 44% 주 : 216 년응용산업별매출비중자료 : Bloomberg, NH 투자증권리서치본부 영업실적추이 - 모바일및인터넷-커넥티드디바이스증가와자동차전장화추세등으로장기적인실적은안정적인성장예상 - 217년회계연도시장컨센서스매출과매출총이익은각각 4.7억달러 (+4.5% y-y), 7.5억달러 (+7.2% y-y) 전망 ( 백만달러 ) 5, 4, 3, 매출 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) , 4 1, 2 '13 '14 '15 '16 '17E '18F 자료 : Bloomberg, NH 투자증권리서치본부 과거주가추이 ( 달러 ) ( 만주 ) 14 1, '12.3 '12.6 '12.9 '12.12 '13.3 '13.6 '13.9 '13.12 '14.3 '14.6 '14.9 '14.12 '15.3 '15.6 '15.9 '15.12 '16.3 '16.6 '16.9 '16.12 '17.3 자료 : Bloomberg, NH 투자증권리서치본부 71

72 Amkor Technology STATEMENT OF COMPREHENSIVE INCOME ( 백만달러 ) E 218F 매출액 2,885 3,894 4,69 4,242 매출총이익 영업이익 EBITDA 이자비용 세전이익 당기순이익 희석주당순이익 PER, PBR 추이 ( 배 ) PER( 좌 ) PBR( 우 ) '13 '14 '15 '16 '17E '18F ( 배 ) PROFITABILITY & STABILITY (%) E 218F EBITDA/ 매출 영업이익률 순이익률 총자산이익률 자기자본이익률 투하자본이익률 부채비율 유동비율 STATEMENT OF FINANCIAL POSITION ( 백만달러 ) 현금및현금성자산 매출채권 유동자산 1,232 1,198 1,317 1,41 유형자산 3,424 3,635 4,3 4,68 총무형자산 자산총계 3,427 3,635 4,26 4,92 단기성차입금 유동부채 ,18 1,6 장기성차입금 1,591 1,526 1,51 1,44 기타비유동부채 부채총계 2,462 2,54 2,89 2,689 자본총계 965 1,131 1,218 1,43 CASH FLOW STATEMENT ( 백만달러 ) 영업활동으로인한현금흐름 투자활동으로인한현금흐름 자본적지출 (CAPEX) 재무활동으로인한현금흐름 배당금지급 현금자산순증 Unlevered Free Cash Flow 잉여현금흐름수익률 영업활동및투자활동현금흐름추이 ( 백만달러 ) 현금흐름 ( 영업활동, 좌 ) ( 백만달러 ) 8 현금흐름 ( 투자활동, 우 ) 5 현금흐름 ( 재무활동, 우 ) , '11 '12 '13 '14 '15 '16 (%) 영업이익률 ( 좌 ) (%) 18 자기자본이익률 ( 좌 ) 6 15 순이익률 ( 우 ) '13 '14 '15 '16 '17E '18F 영업이익률, 순이익률, 자기자본이익률추이 순부채및부채비율추이 ( 백만달러 ) Net Debt( 좌 ) 부채비율 ( 우 ) (%) 1,2 3 1, '13 '14 '15 '16 '17E '18F 자료 : Bloomberg, NH 투자증권리서치본부 72

73 해외기업분석 : 일본 SUMCO (3436.JP) 반도체용실리콘웨이퍼수요견조하게확대 Company Report 글로벌반도체수요증가로실리콘웨이퍼공급부족현상은올해도지속 될전망. 실리콘웨이퍼가격도점진적으로상승할것으로보여지나동사 설비증설에는신중할것으로판단. 중국반도체양산본격화될시실리 콘웨이퍼공급부족상황심화될것이고동사는큰수혜를받을전망 반도체용실리콘웨이퍼전문기업 1999 년당시스미토모금속공업 ( 현 : 신일철주금 ) 과미츠비시머티리얼, 미츠비시머티리얼실리콘이공동출자하여반도체용실리콘웨이퍼개발및제조전문회사인실리콘유나이티드매뉴팩처링을설립. 이후, 스미토모금속공업의실리콘사업영업부문양수, 미츠비시머티리얼실리콘과의합병과정을거쳐 22 년미츠비시스미토모실리콘이탄생 (25 년 SUMCO 로상호변경 ) 글로벌실리콘웨이퍼시장의약 3% 점유하고있으며해외매출비중이약 8% 에달함 실리콘웨이퍼공급부족상태는올해도지속될전망 217 년 3mm 실리콘웨이퍼글로벌수요는 55 만장 / 월에이를전망. 중저가스마트폰시장을공략하던중국스마트폰제조사들이프리미엄시장으로눈을돌리면서반도체수요증가, 클라우드시장의급성장등으로인해데이터센터용 SSD 수요가증가했기때문. 글로벌실리콘웨이퍼제조사들의 3mm 총생산가능량은 53~54 만장 / 월수준으로수요초과상태는올해도지속될전망 동사에의하면 217 년 1 분기에도수요초과상태는지속될것으로전망. 또한, 3mm, 2mm 실리콘웨이퍼모두재고가급감하고있으며특히, 3mm 실리콘웨이퍼의부족현상이심화되고있는것으로나타남 Not Rated 국내비교기업 : LG 실트론 ( 비상장 ) 현재가 ( 17/3/2) 1,7. 엔 업종 정보통신 시장 도쿄1부시장 / TOPIX TOPIX 1, 시가총액 ( 보통주 ) 4,985.8억엔 (5.조원) 발행주식수 ( 보통주 ) 293.2백만주 52주최고가 1,935.엔 최저가 59.엔 배당수익률 (217E).2% 주요주주 MITSUBISHI MATERIALS 13.4% NIPPON STEEL & SUMIT 13.4% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 218F 매출액 증감률 영업이익 영업이익률 ( 지배지분 ) 순이익 EPS 증감률 PER PBR ROE 순차입금 단위 : 십억엔, %, 엔, 배주 1: EPS, PER, PBR, ROE 는지배지분기준자료 : Bloomberg 중국의칭화유니그룹등은현재반도체생산시설에대규모투자를진행중에있으며양산본격화될시실리콘웨이퍼공급부족은더욱심화될전망 단, 동사는아직증설에는소극적. 동사는 2 년대초중반반도체시장의급성장을예상하며대규모증설을단행. 하지만, 리먼사태이후, 반도체시장이크게위축되었고경쟁사들도동시에대규모증설을진행한탓에공급과잉상태가최근까지지속되었음. 시장상황을주시하며증설서두르지않을것 Analyst 김보근 2) , kevin.kim@nhqv.com

74 SUMCO 11 년만에가격인상에나서다 추가적인가격인상에 나설가능성높아 동사는 1~ 3월출하분 3mm 실리콘웨이퍼의가격을 11년만에인상. 개별교섭진행방식으로인해가격인상폭은고객사에따라다르나, 전반적으로 +1% 전후인것으로알려짐. 안정적으로실리콘웨이퍼를확보하려는글로벌전자기기기업들간의경쟁으로인해실리콘웨이퍼가격의상승세는당분간지속될것으로판단 FY216(216 년 1월 ~ 12월 ) 매출, 영업이익, 순이익은각각 2,113억엔 ( 전년대비 -11%), 14억엔 ( 전년대비 -52%), 65억엔 ( 전년대비 -67%) 을기록. 실리콘웨이퍼가격이연초에하락했던점과엔강세등이부정적으로작용단, 올해 1월부터본격적인실적회복기대. 3mm 실리콘웨이퍼가격인상및제품믹스개선등이이에기여할것으로판단. 동사는 217년 1~ 3월매출, 순이익가이던스로 59억엔 ( 전년동기대비 +14%), 3억엔 ( 전년동기대비 +9%) 제시 그림 1. 지역별매출추이 : 아시아매출반등 그림 2. P( 가격 ) 상승으로전체판매금액반등세가속화될것 ( 억엔 ) 7 아시아북미유럽및기타일본 ( 백만엔 ) 45, 실리콘웨이퍼전체판매금액 , 35, 3, , 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16 2, '11.12 '12.12 '13.12 '14.12 '15.12 '16.12 자료 : IR 자료, NH 투자증권리서치본부 자료 : 일본경제산업성, NH 투자증권리서치본부 그림 3. 2mm 재고감소전망 그림 4. 3mm 공급부족상태심화 (1, inch²) 2mm 생산 2mm 판매 12, 2mm 재고 1, 8, 6, 4, 2, '11.12 '12.12 '13.12 '14.12 '15.12 '

75 SUMCO STATEMENT OF COMPREHENSIVE INCOME ( 십억엔, 엔 ) E 218F 매출액 매출총이익 영업이익 EBITDA 이자비용 세전이익 당기순이익 희석주당순이익 사업부문별매출비중 ( 단위 : %) 실리콘웨이퍼, 1% PROFITABILITY & STABILITY (%) E 218F EBITDA/ 매출 영업이익률 순이익률 총자산이익률 자기자본이익률 투하자본이익률 부채비율 유동비율 STATEMENT OF FINANCIAL POSITION 주가및거래량 (JPY) ( 만주 ) 거래량 ( 우 ) 3436 JP EQUITY 3, 2,5 2,5 2, 2, 1,5 1,5 1, 1, 5 5 '14.3 '14.7 '14.11 '15.3 '15.7 '15.11 '16.3 '16.7 '16.11 '17.3 ( 십억엔 ) 현금및현금성자산 매출채권 유동자산 유형자산 비유동자산 자산총계 단기성차입금 유동부채 장기성차입금 비유동부채 부채총계 자본총계 영업이익, 영업이익률추이 ( 십억엔 ) 매출액 ( 좌 ) 영업이익 ( 좌 ) 3 영업이익률 ( 우 ) '14 '15 '16 '17E '18F (%) CASH FLOW STATEMENT ( 십억엔 ) 영업활동으로인한현금흐름 투자활동으로인한현금흐름 자본적지출 (CAPEX) 재무활동으로인한현금흐름 배당금지급 현금자산순증 비레버리지잉여현금흐름 잉여현금흐름수익률 현금흐름추이 ( 십억엔 ) 현금흐름 ( 영업활동 ) 현금흐름 ( 투자활동 ) 6 현금흐름 ( 재무활동 ) '12 '13 '14 '15 '16 자료 : Bloomberg, NH 투자증권리서치본부 75

76 해외기업분석 : 홍콩 SMIC (981.HK) 중국 1 위반도체파운드리기업 Company Report 글로벌 5 위, 중국 1 위반도체파운드리기업으로중국로컬기업중유 일하게 28nm 공정제품양산가능. 생산능력확대에따른외형성장, 28nm 제품비중확대에따른평균판매단가개선, 중국반도체산업굴기 수혜, 장전테크놀로지최대주주등극에따른시너지등기대됨 글로벌 No.5 반도체파운드리기업 2 년설립, 24 년홍콩증권거래소에상장된중국 1 위, 글로벌 5 위반도체파운드리기업. 중국로컬업체중유일하게 28nm(1nm=1 억분의 1m) 공정제품대량생산가능 216 년 1~ 3 분기누적응용산업별매출비중은컴퓨터 4%, 소비품 ( 가전제품 ) 41%, 통신제품 46%, 기타 9%. 지역별매출비중은중국 52%, 북미지역 28%, 유럽및아시아 2% 임 생산능력확대, 제품믹스개선, 인수합병으로역대최고실적기록 지난 2 월 14 일, 216 년잠정실적 (Uuaudited Results) 발표. 매출액 29 억 2,3 만달러 (+28.9% y-y), 영업이익 3 억 3,921 만달러 (+52.8% y-y), 순이익 3 억 7,663 만달러 (+48.6% y-y) 로역대최고실적기록. 동사호실적은 1) 지속적인생산능력확대및가동률상승, 2) 제품믹스개선, 3) Lfoundry 인수효과 (216 년하반기부터연결실적반영 ) 등때문 또한동사는 217 년실적가이던스로매출성장률 2%, 매출총이익률 (GPM) 2~ 3%, EBITDA margin 3~ 4% 등제시. 그배경은중국의지속적인수요증가, 28nm 제품비중확대등임 지속적인생산능력확대와기술력향상, 반도체산업굴기에따른수혜 중국내최대생산능력과중국로컬파운드리업체중가장뛰어난기술력보유. 생산능력확대와기술력향상을통한지속적인실적성장기대 Not Rated 국내비교기업 : 동부하이텍 (99.KS) 현재가 ( ) 시장 업종 1.5HKD 홍콩 정보통신 항셍지수 24,52. 시가총액 ( 보통주 ) 억HKD (7.조원) 발행주식수 ( 보통주 ) 42.5억주 52주최고가 ('16/12/29) 12.3 HKD 최저가 ('16/5/1) 5.8 HKD 베타.8 배당수익률 (216E).7% 주요주주대당홀딩스 ( 홍콩 ) 투자 18.3% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 217F 218F 매출액 2,236 2,98 3,529 4,121 증감률 영업이익 영업이익률 순이익 EPS 증감률 PER PBR ROE 순부채 ,211 1,688 단위 : 백만달러, %, 달러, 배자료 : Bloomberg 동사의최대주주는국유기업인대당전신과기산업그룹이며, 국가반도체산업투자기금 이 2 대주주. 중국정부가 중국반도체산업굴기 주도적으로진행하고있는만큼수혜가기대됨 지난 3 월 1 일, 중국증감회는장전테크놀로지의 3 자배정유상증자를승인. 유상증자완료시동사가장전테크놀로지의최대주주로등극. SMIC+ 장전테크놀로지 라는강력한반도체산업연합결성, 장전테크놀로지와의시너지확대기대 Analyst 장재영 2) , jonathan.jang@nhqv.com

77 기업개요 2 년설립, 24 년홍콩증권거래소에상장된중국 1 위, 글로벌 5 위반도체파운드리기업으로중국전체파운드리생산능력의 41%, 중국 12 인치파운드리생산능력의 55% 를차지하고있음. 또한 216 년부터 28nm 공정의반도체칩을대량생산하고있으며, 현재퀄컴, 화웨이와함께 14nm 공정공동연구개발중임 동사최대주주는지분 18.25% 를보유한대당홀딩스 ( 홍콩 ) 투자이고, 실질주주는중앙국유기업인대당전신과기산업그룹 ( 大唐电信科技产业集团 ), 2 대주주는지분 17.54% 를보유한흠심 ( 홍콩 ) 투자로, 흠심 ( 홍콩 ) 투자는 국가반도체산업투자펀드 의 1% 자회사임 제품및매출비중 년 1~3 분기누적응용산업별매출비중은통신제품 46%, 소비품 ( 가전제품 ) 41%, 기타 9%, 컴퓨터 4% 임 컴퓨터, 4% 기타, 9% 년 1~ 3 분기누적지역별매출비중은중국 52%, 북미 28%, 유로 - 아시아 2% 임통신제품, 46% 소비품 ( 가전제품 ), 41% 자료 : IR 자료, NH 투자증권리서치본부 영업실적추이 - 216년 1) 지속적인생산능력확대및가동률상승, 2) 제품믹스개선, 3) Lfoundry 인수효과등에힘입어역대최고실적기록 - 동사는 217년실적가이던스로매출성장률 2%, 매출총이익률 (GPM) 2~ 3%, EBITDA margin 3~ 4% 등제시. 그배경은중국의지속적인수요증가, 28nm 제품비중확대등임 ( 백만달러 ) 5, 4, 3, 2, 1, 매출액 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) '12 '13 '14 '15 '16E '17F '18F 자료 : Bloomberg, NH 투자증권리서치본부 과거주가및거래량추이 (HKD) ( 만주 ) 거래량 ( 우 ) 14 35, 주가 ( 좌 ) 12 3, , 2, 15, 1, 5, '14.3 '14.6 '14.9 '14.12 '15.3 '15.6 '15.9 '15.12 '16.3 '16.6 '16.9 '16.12 '17.3 자료 : Bloomberg, NH투자증권리서치본부 77

78 SMIC 표 년글로벌 1 대반도체파운드리업체별시장점유율 순위기업국가 215 년매출액 ( 백만달러 ) 시장점유율 (%) 214 년매출액 ( 백만달러 ) 증가율 (%, y-y) 1 TSMC 대만 26, , GLOBAL FOUNDRIES 미국 4, , UMC 대만 4, , 삼성한국 2, , SMIC ( 中芯国际 ) 중국 2, , POWERCHIP SEMICONDUCTOR 대만 TOWER JAZZ 미국 FUJITSU 일본 VIS 대만 HUA HONG SEMICONDUCTOR ( 华虹宏力 ) 중국 Top1 44, 기타 4, , 총 48, , 자료 : Gartner, NH 투자증권리서치본부 표 2. SMIC 생산능력 Fab Technology Node Monthly Capacity 216 Year End Fab Shell Design (3Q16) Target Capacity Shanghai 12인치 45nm and below 2k 2k ~2k Beijing 12인치 55~ 9nm,.13um 39k 45k ~5k Beijing JV 12인치 45nm and below 15.5k 18k ~35k Shanghai 8인치.11~.35um 17k 18k ~18k Tianjin 8인치.13~.35um 45k - ~45k Shenzhen 8인치.15~.35um 31k 31k ~5k Italy LF 8인치 9nm~.18um 4k - ~5k 자료 : IR 자료, NH 투자증권리서치본부 그림 년 1~ 3 분기누적공정별매출비중 그림 년 1~ 3 분기누적지역별매출비중 25/35nm, 3.1% 28nm, 1.4% 15/18nm, 37.2% 4/45nm, 22.6% 55/65nm, 2.8% 유로 - 아시아, 2% 북미, 28% 중국, 52% 11/13nm, 12.7% 9nm, 2.2% 자료 : IR 자료, NH 투자증권리서치본부 자료 : IR 자료, NH 투자증권리서치본부 78

79 SMIC 그림 3. 세미콘차이나 217 의 SMIC 부스 그림 4. SMIC 의 12 인치 (3mm) Wafer 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 그림 5. SMIC 의 28nm Mask 그림 6. SMIC 의 8 인치 Wafer Bumping 자료 : 세미콘차이나 217, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 79

80 SMIC STATEMENT OF COMPREHENSIVE INCOME ( 백만달러, 달러 ) E 217F 218F 매출액 2,236 2,98 3,529 4,121 매출총이익 ,151 영업이익 EBITDA 746 1,67 1,341 1,64 이자비용 12 n/a n/a n/a 세전이익 순이익 EPS.1 n/a n/a n/a PER, PBR 추이 ( 배 ) 3 PER( 좌 ) PBR( 우 ) '13 '14 '15 '16 '17E '18F ( 배 ) PROFITABILITY & STABILITY (%) E 217F 218F EBITDA/ 매출 33.3 n/a n/a n/a 영업이익률 순이익률 총자산이익률 자기자본이익률 투하자본이익률 4.2 n/a n/a n/a 부채비율 33.8 n/a n/a n/a 유동비율 1.5 n/a n/a n/a 순부채및부채비율추이 ( 백만달러 ) 2, 1,5 1, 5 Net Debt( 좌 ) 부채비율 ( 우 ) (%) STATEMENT OF FINANCIAL POSITION ( 백만달러 ) 현금및현금성자산 ,5 매출채권 유동자산 1,27 1,563 2,298 2,59 유형자산 3,838 4,38 5,562 6,891 비유동자산 n/a n/a n/a n/a 자산총계 4,73 4,523 5,769 7,115 단기성차입금 유동부채 1, ,15 1,767 장기성차입금 , 비유동부채 9 66 부채총계 1,797 1,93 2,462 2,925 자본총계 2,276 2,593 3,38 4,19 '13 '14 '15 '16 '17E '18F 영업이익률, 순이익률, 자기자본이익률추이 (%) 영업이익률 ( 좌 ) 15 자기자본이익률 ( 좌 ) 순이익률 ( 우 ) 1 5 '13 '14 '15 '16 '17E '18F (%) CASH FLOW STATEMENT ( 백만달러 ) 영업활동으로인한현금흐름 투자활동으로인한현금흐름 , 자본적지출 (CAPEX) ,231 재무활동으로인한현금흐름 배당금지급 현금자산순증 비레버리지잉여현금흐름 잉여현금흐름수익률 자료 : Bloomberg, NH 투자증권리서치본부 영업활동및투자활동현금흐름추이 ( 백만달러 ) 현금흐름 ( 영업활동, 좌 ) ( 백만달러 ) 8 현금흐름 ( 투자활동, 우 ) 1, 현금흐름 ( 재무활동, 우 ) , -1,5 '1 '11 '12 '13 '14 '15 8

81 해외기업분석 : 중국 장전테크놀로지 (6584.SH) 중국최대반도체후공정업체 Company Report 글로벌 3 위반도체후공정업체로중국반도체굴기에따른구조적성장 예상. STATS ChipPAC 가동률상승및이에따른적자폭축소에이익 개선. 최근증감회는 SMIC 와국가반도체펀드대상동사의 3 자배정유 상증자안승인, 지배구조변화에따른수혜기대 글로벌 3 위반도체패키징및검사업체 1972 년설립, 23 년상해증권거래소에상장된중국 1 위, 글로벌 3 위 (216 년 1 위 ASE&SPIL, 2 위 AMKOR) 반도체후공정업체. 215 년 8 월글로벌선진반도체후공정업체인 STATS ChipPAC 을인수함으로써핵심기술확보, 생산능력확대 216 년상반기사업부문별매출비중반도체후공정 98%, 반도체판매 2%. 현재최대주주는강소신조과기그룹으로지분 18.37% 보유 STATS ChipPAC 적자폭축소에따른이익개선 216 년 1~ 3 분기누적매출액 132 억 8,295 만위안 (+12.8% y-y), 영업적자 4 억 882 만위안 ( 적자전환 ), 지배지분순적자 3 억 8,523 만위안 ( 적자전환 ) 기록. 영업적자와지배지분순적자는자회사 STATS ChipPAC 적자때문 실적속보치를통해 216 년지배지분순이익전년대비 9~ 12% 증가한 9,878 만 ~ 1 억 1,438 만위안으로발표. 이는가동률상승, 제품믹스개선, STATS ChipPAC 적자폭축소때문 지배구조변화에따른수혜와증손자회사턴어라운드에이익증가기대 217 년 3 월 1 일, 증감회는동사의인수합병및 3 자배정유상증자안건을승인. 3 자배정유상증자완료시 SMIC( 글로벌 5 위파운드리 ) 와 국가반도체산업투자펀드 ( 중앙정부주도반도체펀드 ) 가동사최대및 3 대주주로등극. 이경우 SMIC+ 국가반도체산업투자펀드 + 장전테크놀로지 라는강력한반도체연합이등장하게되며, 중국반도체산업굴기 에따른중장기성장기대 유상증자를통해모집한자금으로장전신과 ( 长电新科 ) 와장전신붕 ( 长电新朋 ) 의지분 1% 를확보함에따라 STATS ChipPAC 은동사의증손자회사로편입될계획. 선진후공정기술확보한 STATS ChipPAC 은최근고객군확대에따른수주증가 가동률상승 적자폭축소또는턴어라운드기대됨. 이에따라장전테크놀로지의연결이익증가할것 Not Rated 국내비교기업 : 네패스 (3364.KQ) 현재가 ( ) 시장 업종 Analyst 장재영 2) , jonathan.jang@nhqv.com 19.2 위안 상해 정보통신 상해종합지수 3,25.8 시가총액 ( 보통주 ) 197.6억위안 (3.2조원) 발행주식수 ( 보통주 ) 1.4억주 52주최고가 ('16/3/21) 22.위안 최저가 ('16/5/18) 15.2위안 평균거래대금 (6일) 188.백만위안 배당수익률 (216E) -- 주요주주강소신조과기그룹 18.4% 주가상승률 3 개월 6 개월 12 개월 절대수익률 (%) 상대수익률 (%p) E 217F 218F 매출액 1,87 18,273 25,454 32,3 증감률 영업이익 ,248 영업이익률 ( 지배지분 ) 순이익 ,14 EPS 증감률 PER PBR EV/EBITDA 17.9 n/a n/a n/a ROE 부채비율 73.8 n/a n/a n/a 단위 : 백만위안, %, 위안, 배주 : EPS, PER, PBR, ROE 는지배지분기준자료 : WIND

82 기업개요 1972 년설립, 23 년상해증권거래소에상장된중국 1 위, 글로벌 3 위의반도체후공정기업. 215 년 8 월글로벌반도체후공정기업 STATS ChipPac 인수함으로써선진기술확보, 생산능력확대 현재동사최대주주는지분 18.37% 를가진강소신조과기 ( 江苏新潮科技 ) 그룹이며실질주주는창업주인왕신조 ( 王新潮 ) 회장임. 217 년 3 월 1 일, 증감회가동사의인수합병및 3 자배정유상증자안건을승인. 3 자배정유상증자완료시글로벌 5 위반도체파운드리업체 SMIC 가동사최대주주로, 중앙정부주도의반도체펀드인 국가반도체산업투자펀드 가동사 3 대주주로등극하게됨 제품및매출비중 년상반기사업부문별매출비중은반도체후공정 98%, 반도체판매 2% 반도체판매, 2% 년상반기사업부문별매출총이익률 (GPM) 은반도체후 공정 1.74%(-11.11%p), 반도체판매 33.51%(+3.46%p) 임 반도체후공정, 98% 자료 : IR 자료, NH 투자증권리서치본부 영업실적추이 - 동사는 215년 8월 STATS ChipPAC 인수. 이후 216년 1~ 3 분기누적매출액은전년대비 12.8% 증가하였으나, 영업적자및순적자로전환 ( 백만위안 ) 4, 3, 2, 매출액 ( 좌 ) 영업이익 ( 좌 ) 영업이익률 ( 우 ) (%) 동사는실적속보치를통해 216 년지배지분순이익전년대 1, 2 비 9~ 12% 증가할것이라고발표. 이는 1) 본사가동률상 승, 2) 제품믹스개선, 3) STATS ChipPAC 의고객확대에따른 -1, -2 수주증가 가동률상승 적자폭축소때문 -2, -4 '12 '13 '14 '15 '16E '17F '18F 자료 : WIND, NH투자증권리서치본부 과거주가및거래량추이 ( 위안 ) ( 만주 ) 35 거래량 ( 우 ) 14, 3 주가 ( 좌 ) 12, , 8, 6, 4, 2, '14.3 '14.6 '14.9 '14.12 '15.3 '15.6 '15.9 '15.12 '16.3 '16.6 '16.9 '16.12 '17.3 자료 : WIND, NH투자증권리서치본부 82

83 장전테크놀로지 그림 1. 유상증자에따른동사의최대주주및자회사지분변경 강소신조과기그룹 SMIC 17.59% SMIC 17.59% 18.37% 1.% 1.% 장전테크놀로지 심전반도체 반도체산업투자기금 강소신조과기그룹 심전반도체 반도체산업투자기금 5.98% 19.61% 29.41% 14.% 14.26% 9.53% 장전신과 장전테크놀로지 77.27% 1.% 장전신붕 22.73% 장전신과 1.% STATS ChipPac 유상증자후지분변화 1.% 장전신붕 1.% STATS ChipPac 자료 : IR 자료, NH 투자증권리서치본부 표 년글로벌 1 대반도체 OSAT 시장점유율 순위기업국가 215 년시장점유율 (%) 214 년시장점유율 (%) 213 년시장점유율 (%) 1 ASE&SPIL 대만 AMKOR (J-DEVICES 포함 ) 미국 J-DEVICES, 215 년 Amkor 에인수 3 장전테크놀로지 (STATS CHIPPAC 포함 ) 중국 POWERTECH 대만 UTAC 싱가포르 CHIPMOS 대만 CHIPBOND 대만 자료 : Gartner, NH 투자증권리서치센터 비고 STATS CHIPPAC, 215 년장전테크놀로지에인수 그림 년상반기지역별매출비중 그림 3. 세미콘차이나 217 의장전테크놀로지부스 중국, 16% 해외, 84% 자료 : IR 자료, NH 투자증권리서치본부 자료 : 세미콘차이나 217, NH 투자증권리서치본부 83

바이오 부문 실적 개선 지연, 소재식품 역기저 효과가 부담 1분기 실적 컨센서스 하회 전망 CJ제일제당의 1분기 연결 매출액과 영업이익은 각각 3조4,636억원(+11.0%, y-y) 과 2,127억원(-5.6%, y-y)으로 컨센서스를 소폭 하회할 전망이다. CJ대한

바이오 부문 실적 개선 지연, 소재식품 역기저 효과가 부담 1분기 실적 컨센서스 하회 전망 CJ제일제당의 1분기 연결 매출액과 영업이익은 각각 3조4,636억원(+11.0%, y-y) 과 2,127억원(-5.6%, y-y)으로 컨센서스를 소폭 하회할 전망이다. CJ대한 (097950.KS) 단기 모멘텀보다 개선 잠재력에 주목 Company Note 2016. 3. 24 바이오제품 가격 상승 지연, 환율 상승에 따른 일부 사업부 역기저 효과 등으로 1분기 실적은 컨센서스 하회 예상. 하지만 가공식품 고성장세 지 속될 전망이고, CJ헬스케어 상장 모멘텀 대기 중이어서 Buy 관점 유효 1분기 실적 컨센서스 소폭 하회할 듯 CJ제일제당의

More information

1분기 실적 컨센서스 충족 추정 영업이익 성장률 17% 기대 아모레그룹의 1분기 연결 매출액과 영업이익은 각각 1조7,128억원(+18.6%, y-y) 과 3,753억원(+17.0%, y-y)으로 시장 컨센서스를 충족시킬 것으로 추정된다. 이익 기여도가 86%에 달하는

1분기 실적 컨센서스 충족 추정 영업이익 성장률 17% 기대 아모레그룹의 1분기 연결 매출액과 영업이익은 각각 1조7,128억원(+18.6%, y-y) 과 3,753억원(+17.0%, y-y)으로 시장 컨센서스를 충족시킬 것으로 추정된다. 이익 기여도가 86%에 달하는 (002790.KS) 반가운 에뛰드 턴어라운드 Company Note 2016. 4. 5 아모레퍼시픽, 이니스프리, 에뛰드 등 핵심 자회사 실적 견조. 특히 최근 까지 매출 역신장과 영업 적자로 부진했던 에뛰드 브랜드가 히트 제품 출시로 턴어라운드에 성공한 점 긍정적. Buy 투자의견 유지 에뛰드, 2년만의 턴어라운드 아모레G의 1분기 연결 매출액과 영업이익은

More information

삼성전자실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2017F 2018F 매출액 - 수정후 200, , , ,569 - 수정전 - 200, , ,697 - 변동률

삼성전자실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2017F 2018F 매출액 - 수정후 200, , , ,569 - 수정전 - 200, , ,697 - 변동률 (005930.KS) 3 분기수정잠정실적분석 갤럭시노트 7 단종영향으로 3 분기영업이익은 7.8 조원에서 5.2 조원으로 조정. 해당손실비용은 3 분기대부분반영. 이제는제품품질에충실해 야할때로판단 3 분기영업이익은갤럭시노트 7 단종영향으로 5.2 조원으로조정 IM 부문영업이익은갤럭시노트 7 리콜및단종으로인한비용 3.8 조원 ( 기존리콜비용 1.2 조원 + 단종에따른이익감소

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

LG 전자 ( KS) 다시찾아가는자신감 Company Note 분기영업적자기록 년에는오랜기간악재로작용하던 MC 실적 우려완화될것으로전망하는바주가부담요인해소예상. 주가정상화 전망 4 분기영업적자 352 억원기록 4 분기연결실

LG 전자 ( KS) 다시찾아가는자신감 Company Note 분기영업적자기록 년에는오랜기간악재로작용하던 MC 실적 우려완화될것으로전망하는바주가부담요인해소예상. 주가정상화 전망 4 분기영업적자 352 억원기록 4 분기연결실 (066570.KS) 다시찾아가는자신감 Company Note 2017. 1. 26 4 분기영업적자기록. 2017 년에는오랜기간악재로작용하던 MC 실적 우려완화될것으로전망하는바주가부담요인해소예상. 주가정상화 전망 4 분기영업적자 352 억원기록 4 분기연결실적은매출액 14.8 조원 (+1% y-y, +12% q-q), 영업적자 352 억원 ( 적전 y-y/q-q)

More information

코웨이 ( KS) 견조한성장세지속 Company Comment 프리미엄비중증가, 신제품군일시불판매호조, 해외 ODM 매출성장 세전환등에따라견조한매출성장보임. 효율적비용제어, 핵심지표 관리또한지속. 현주가 PER 18 배수준으로, 실적안정성

코웨이 ( KS) 견조한성장세지속 Company Comment 프리미엄비중증가, 신제품군일시불판매호조, 해외 ODM 매출성장 세전환등에따라견조한매출성장보임. 효율적비용제어, 핵심지표 관리또한지속. 현주가 PER 18 배수준으로, 실적안정성 (021240.KS) 견조한성장세지속 Company Comment 2016. 5. 4 프리미엄비중증가, 신제품군일시불판매호조, 해외 ODM 매출성장 세전환등에따라견조한매출성장보임. 효율적비용제어, 핵심지표 관리또한지속. 현주가 PER 18 배수준으로, 실적안정성고려시매력적 1 분기실적예상치상회 의 1 분기별도매출액과영업이익은각각 5,776 억원 (+14.1%,

More information

GS 리테일 ( KS) 실적모멘텀둔화 Company Comment 분기매출 16,565 억원 (32% y-y), 영업이익 388 억원 (16% y-y) 으로컨 센서스영업이익 525 억원을크게하회하는실적발표 년에는파르 나스호텔

GS 리테일 ( KS) 실적모멘텀둔화 Company Comment 분기매출 16,565 억원 (32% y-y), 영업이익 388 억원 (16% y-y) 으로컨 센서스영업이익 525 억원을크게하회하는실적발표 년에는파르 나스호텔 (007070.KS) 실적모멘텀둔화 Company Comment 2016. 2. 4 4 분기매출 16,565 억원 (32% y-y), 영업이익 388 억원 (16% y-y) 으로컨 센서스영업이익 525 억원을크게하회하는실적발표. 2016 년에는파르 나스호텔이정상가동되는하반기가매력적일것으로판단 4 분기기대치를하회하는실적발표 연결기준매출 16,565 억원 (32%

More information

Company Comment SK 하이닉스 ( KS) Buy ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 14/08/06) 업종 Price Trend SK 하이닉스 KOSPI 45,400

Company Comment SK 하이닉스 ( KS) Buy ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 14/08/06) 업종 Price Trend SK 하이닉스 KOSPI 45,400 214. 8. 7 Company Comment (66.KS) Buy ( 유지 ) 목표주가 63, 원 ( 유지 ) 현재가 ( 14/8/6) 업종 Price Trend 19 17 15 13 11 KOSPI 45,4 원 반도체 KOSPI 2,6.73 KOSDAQ 548.43 시가총액 ( 보통주 ) 32,792.6십억원 발행주식수 ( 보통주 ) 718.9백만주 52주최고가

More information

표1. LG디스플레이 4분기실적 Preview ( 단위 : 십억원, %) 4Q17 1Q18 2Q18 3Q18 4Q18E 예상치 y-y q-q 기존추정컨센서스 1Q19F 매출액 7,126 5,675 5,611 6,12 7, ,41 7,58 5,78 영업이익

표1. LG디스플레이 4분기실적 Preview ( 단위 : 십억원, %) 4Q17 1Q18 2Q18 3Q18 4Q18E 예상치 y-y q-q 기존추정컨센서스 1Q19F 매출액 7,126 5,675 5,611 6,12 7, ,41 7,58 5,78 영업이익 (3422.KS) 부담요인해소가절실한상황 4 분기미국스마트폰업체신제품출시효과로영업실적흑자기조유지 할것. 그러나 1 분기전사영업실적적자전환전망, 실적가시성낮은 중소형 OLED 등이밸류에이션회복에불리한요인으로부각될수있음. 다만대형 OLED 의기조적수요성장과높아진이익가시성이밸류에이션 하단지지할것. 장기관점에서의접근권고 4 분기영업이익 1,448 억원추정 4 분기연결실적으로매출액

More information

표1. LG디스플레이 3분기실적 Preview ( 단위 : 십억원, %) 3Q17 4Q17 1Q18 2Q18 3Q18E 예상치 y-y q-q 기존추정컨센서스 4Q18F 매출액 6,973 7,126 5,675 5,611 6, ,263 6,293 6,543

표1. LG디스플레이 3분기실적 Preview ( 단위 : 십억원, %) 3Q17 4Q17 1Q18 2Q18 3Q18E 예상치 y-y q-q 기존추정컨센서스 4Q18F 매출액 6,973 7,126 5,675 5,611 6, ,263 6,293 6,543 (034220.KS) 새로운사업균형이필요 Company Comment 2018.10.15 3 분기 LCD 영업환경개선으로영업실적흑자전환가능할것. 향후 LCD 영업환경개선유지는힘겨워보이나이미예상된방향. 현재 OLED( 중소 형 + 대형 ) 펀더멘털개선기대가밸류에이션하단 (PBR 0.5 배 ) 을지지. 향 후 OLED 사업의초기성과가확인될시밸류에이션회복 (PBR

More information

Microsoft Word

Microsoft Word 삼성전자 (005930) KB RESEARCH 2017년 4월 7일 1분기 잠정실적: 3년 만의 최대 실적 1분기 영업이익 9.9조원, 컨센서스 상회 2분기 추정 영업이익 12.5조원, 전년대비 54% 증가 IT Analyst 김동원 실적 업사이드 충분, 목표주가 270만원 유지 02-6114-2913 jeff.kim@kbfg.com RA 류진영 02-6114-2964

More information

한국타이어 ( KS) 내수회복 Vs 테네시공장안정화지연 Company Comment 미국테네시공장안정화는내년 1 분기로지연되었으나, 내수시장에서는 유통구조개선에따른회복세진행. 또한, 양호한현금흐름을기반으로 한안정적사업구조는매크로불확실성

한국타이어 ( KS) 내수회복 Vs 테네시공장안정화지연 Company Comment 미국테네시공장안정화는내년 1 분기로지연되었으나, 내수시장에서는 유통구조개선에따른회복세진행. 또한, 양호한현금흐름을기반으로 한안정적사업구조는매크로불확실성 (16139.KS) 내수회복 Vs 테네시공장안정화지연 Company Comment 218. 1. 3 미국테네시공장안정화는내년 1 분기로지연되었으나, 내수시장에서는 유통구조개선에따른회복세진행. 또한, 양호한현금흐름을기반으로 한안정적사업구조는매크로불확실성하에서동사의상대적투자매력 점진적실적개선전망. 안정적인사업구조가강점 동사에대한 Buy 투자의견유지하나이익전망치변경을감안해목표주가를

More information

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc 212-4-18 In-Depth Report, 12-5 고영 (9846) 성장성에대한기대감재부각시점 매수 ( 신규편입 ) T.P 35, 원 ( 신규편입 ) Analyst 강문성 / 스몰캡 mskang74@sk.com +82-3773-9269 Company Data 자본금 43 억원 발행주식수 866 만주 자사주 1 만주 액면가 5 원 시가총액 2,289 억원

More information

아모레 G 3 분기실적 Preview ( 단위 : 십억원, %) 3Q15 4Q15 1Q16 2Q16 3Q16E 수정후 y-y q-q 수정전컨센서스 4Q16F 매출액 1,347 1,458 1,759 1,720 1, ,678 1,735 1,837 영

아모레 G 3 분기실적 Preview ( 단위 : 십억원, %) 3Q15 4Q15 1Q16 2Q16 3Q16E 수정후 y-y q-q 수정전컨센서스 4Q16F 매출액 1,347 1,458 1,759 1,720 1, ,678 1,735 1,837 영 (002790.KS) 글로벌화장품트렌드에최적화된비즈니스 Company Comment 2016. 10. 20 조만간非아모레퍼시픽지분가치가아모레퍼시픽지분가치를넘어서게 될것. 이니스프리, 에뛰드등 fast cosmetic 영역에강한동사의비즈니 스포트폴리오가부각될수있는산업환경이전개되고있음. 상대적으로 높은지배주주순이익성장률에주목. Buy 유지 3 분기실적기대치충족추정

More information

Microsoft Word - 20160525172217103.doc

Microsoft Word - 20160525172217103.doc 216년 5월 26일 산업분석 디스플레이 Overweight (유지) 실적 턴어라운드 기대 LCD 구조조정, 하반기 수급 및 가격에 긍정적 디스플레이,가전,휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 하반기 글로벌 디스플레이 산업은 한국 패널업체의 LCD

More information

LG 상사 ( KS) 분기별실적편차줄인안정적성장기대 Company Comment GTL 프로젝트배제해도 GAM 석탄광증산및석탄가격강세로 2018 년 영업이익 22% y-y 성장전망. 석탄사업이익기여확대 (49%) 로분기별 실적변동성축소기대

LG 상사 ( KS) 분기별실적편차줄인안정적성장기대 Company Comment GTL 프로젝트배제해도 GAM 석탄광증산및석탄가격강세로 2018 년 영업이익 22% y-y 성장전망. 석탄사업이익기여확대 (49%) 로분기별 실적변동성축소기대 (001120.KS) 분기별실적편차줄인안정적성장기대 Company Comment 2018. 2. 1 GTL 프로젝트배제해도 GAM 석탄광증산및석탄가격강세로 2018 년 영업이익 22% y-y 성장전망. 석탄사업이익기여확대 (49%) 로분기별 실적변동성축소기대 일회성비용반영과환율하락으로 4 분기실적, 시장컨센서스하회 의 4 분기매출액, 영업이익, 세전이익은 3

More information

한화 ( KS) 구조개편마무리, 견조한성장기대 Company Comment 분기실적은한화케미칼부진으로시장컨센서스하회추정. 그러나, 방 산과건설주도로 2019 년영업이익 6% y-y 성장할전망. 구조개편통한 사업포트폴리오강화재원확보, 계

한화 ( KS) 구조개편마무리, 견조한성장기대 Company Comment 분기실적은한화케미칼부진으로시장컨센서스하회추정. 그러나, 방 산과건설주도로 2019 년영업이익 6% y-y 성장할전망. 구조개편통한 사업포트폴리오강화재원확보, 계 (000880.KS) 구조개편마무리, 견조한성장기대 Company Comment 2019. 1. 9 4 분기실적은케미칼부진으로시장컨센서스하회추정. 그러나, 방 산과건설주도로 2019 년영업이익 6% y-y 성장할전망. 구조개편통한 사업포트폴리오강화재원확보, 계열사상장가능성등기반 NAV( 순자산 가치 ) 모멘텀기대. 현주가는 NAV 대비 47% 할인 구조개편마무리,

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

CJ 대한통운 ( KS) 2017 년에도실적호조, 주가반등전망 Company Comment CJ 대한통운의 4 분기실적은안정적수준달성추정 년에도성장성 과수익성을동시에만족시킬전망. 반면최근주가는수급문제로급락 세. 글로벌부문호조와

CJ 대한통운 ( KS) 2017 년에도실적호조, 주가반등전망 Company Comment CJ 대한통운의 4 분기실적은안정적수준달성추정 년에도성장성 과수익성을동시에만족시킬전망. 반면최근주가는수급문제로급락 세. 글로벌부문호조와 (12.KS) 217 년에도실적호조, 주가반등전망 Company Comment 217. 1. 2 의 4 분기실적은안정적수준달성추정. 217 년에도성장성 과수익성을동시에만족시킬전망. 반면최근주가는수급문제로급락 세. 글로벌부문호조와 Valuation 메리트확보로주가상승반전전망 운송업종 Top pick. 최근과도한주가하락으로저점매수기회 은운송업종내에서가장성장성이높고안정적수익구조를유지하고있음.

More information

현대중공업 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 213 214P 215E 216F 매출액 - 수정 후 54,188 52,582 54,347 55,336 - 수정 전 52,841 54,988 55,992 - 변동률 -.5-1.2-1.2 영업이익

현대중공업 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 213 214P 215E 216F 매출액 - 수정 후 54,188 52,582 54,347 55,336 - 수정 전 52,841 54,988 55,992 - 변동률 -.5-1.2-1.2 영업이익 (954.KS) Company Comment 215. 2. 13 4Q Review: 실적은 OK, 수주부진은 지속 4분기 영업이익은 3분기 대규모 적자에서 벗어나 BEP(손익분기점) 수준으로 안정화. 이익이 저점을 통과중이고 상선부문에서 수주증가가 기대되나 경쟁심화로 선가상승 이 어렵고, 여전히 해양부문 수주 불투명하여 목표주가 13만원, 투자의견 Hold

More information

Microsoft Word SK하이닉스_4Q12 Preview.doc

Microsoft Word SK하이닉스_4Q12 Preview.doc Company Brief 2013. 01. 07 SK 하이닉스 (000660) Buy 4Q12 Preview: 흑자전환시현! Analyst 이세철 (6309-4523) 목표주가 (6개월) 33,000원현재주가 (1.4) : 26,350원소속업종전기, 전자시가총액 (1.4) : 182,909억원평균거래대금 (60일) 1,156.9억원외국인지분율 25.15% 예상EPS(

More information

아모레 G ( KS) Company Comment 아모레퍼시픽과이니스프리가견인하는고성장지속 1 분기영업이익 3,207 억원 (+49.9%, y-y) 으로컨센서스와당사예상치를 10% 이상상 회. 아모레퍼시픽과이니스프리의호실적이주효. 아모레

아모레 G ( KS) Company Comment 아모레퍼시픽과이니스프리가견인하는고성장지속 1 분기영업이익 3,207 억원 (+49.9%, y-y) 으로컨센서스와당사예상치를 10% 이상상 회. 아모레퍼시픽과이니스프리의호실적이주효. 아모레 (279.KS) Company Comment 215. 5. 15 아모레퍼시픽과이니스프리가견인하는고성장지속 1 분기영업이익 3,27 억원 (+49.9%, y-y) 으로컨센서스와당사예상치를 1% 이상상 회. 아모레퍼시픽과이니스프리의호실적이주효. 아모레 P 에비해밸류에이션낮고, 자회사펀더멘털강화국면에서 high beta play 인 선호. Buy 유지 1 분기실적예상상회

More information

SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2016F 2017F 매출액 - 수정후 17,126 18,850 19,642 20,467 - 수정전 18,926 19,977 21,095 - 변동률

SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2016F 2017F 매출액 - 수정후 17,126 18,850 19,642 20,467 - 수정전 18,926 19,977 21,095 - 변동률 (000660.KS) Company Comment 2015. 6. 11 마이크론 LPDDR4 품질이슈수혜전망 SK 하이닉스는 PC 수요약세에도불구 2 분기 1.4 조원의양호한영업이익시현전망. 15 년하반기에는마이크론의 20nm 급 LPDDR4 품질문제로애플아이폰 6S 에 SK 하이닉스의모바일 DRAM 이주력으로공급될전망이어서하반기실적확대예상됨 '15 년 2

More information

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원 반도체산업 3D NAND 투자가앞당겨진다 216. 4. 1 Analyst 박유악 (698-6688) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 실리콘웍스 STRONG BUY TP 5, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 디엔에프 BUY

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10 실적 Review 2017. 4. 27 Outperform(Maintain) 목표주가 : 34,000원주가 (4/26): 31,400원시가총액 : 112,354억원 LG 디스플레이 (034220) 중소형 OLED 경쟁력확대가능성에주목 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com 2Q17 영업이익 9,310

More information

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템,

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템, In-Depth AP 시스템 (054620) 가치를더해가는 OLED 장비업체 BUY 현재 직전 변동 투자의견 BUY 신규 목표주가 31,000원 신규 Earnings Stock Information 현재가 (9/5) 예상주가상승률시가총액비중 (KOSPI내) 발행주식수 52주최저가 / 최고가 3개월일평균거래대금외국인지분율 20,850원 48.7% 5,340억원

More information

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4%

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4% Company Note 1. 4. 1 4 SK 하이닉스 (66) BUY / TP 6, 원 반도체 / 가전, 전자부품 Analyst 노근창 ) 3787-31 greg@hmcib.com 하반기 LP DDR4 모멘텀에주목 현재주가 (4/13) 상승여력 44, 원 3.7% 시가총액발행주식수자본금 / 액면가 3,178 십억원 78, 천주 368 십억원 /, 원 주최고가

More information

SK 하이닉스 ( KS) 반도체업황 Peak Out 우려에대한당사 의생각 최근반도체사이클고점우려로주가하락세. 최근가격급상승에따른 추가가격상승모멘텀은낮아질수있으나, 이런우려가오히려하반기 반도체업황호조를더욱견고히할것으로판단 우려 1: DRAM 가격약세전환가능성

SK 하이닉스 ( KS) 반도체업황 Peak Out 우려에대한당사 의생각 최근반도체사이클고점우려로주가하락세. 최근가격급상승에따른 추가가격상승모멘텀은낮아질수있으나, 이런우려가오히려하반기 반도체업황호조를더욱견고히할것으로판단 우려 1: DRAM 가격약세전환가능성 (000660.KS) 반도체업황 Peak Out 우려에대한당사 의생각 최근반도체사이클고점우려로주가하락세. 최근가격급상승에따른 추가가격상승모멘텀은낮아질수있으나, 이런우려가오히려하반기 반도체업황호조를더욱견고히할것으로판단 우려 1: DRAM 가격약세전환가능성 à 서버등응용처다양화 최근 DRAM 가격급둥에따라스마트폰 BOM(Bill of Materials) 내 DRAM

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

삼성 SDI 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 214 215E 216F 217F 매출액 - 수정 후 5,474 7,824 8,662 9,161 - 수정 전 5,474 7,58 8,347 8,969 - 변동률 3.2 3.7 2.1 영업이익 -

삼성 SDI 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 214 215E 216F 217F 매출액 - 수정 후 5,474 7,824 8,662 9,161 - 수정 전 5,474 7,58 8,347 8,969 - 변동률 3.2 3.7 2.1 영업이익 - (64.KS) Company Comment 215. 11. 2 지존의 모습을 기대한다 지난 시기와 달리 지금부터 BoT (Battery of Things) 시대 본격화 전망. 금번 매각딜 이 제공하는 삼성SDI의 2차전지 시장 지배력 강화 움직임에 주목. 주가 주도력도 재 차 강화될 전망 3분기 Review: 영업실적 흑자전환 성공 3분기 삼성SDI의 연결

More information

아모레 G ( KS) 낮아진기대치를하회 Company Comment 아모레퍼시픽의실적부진과함께, 에뛰드적자전환, 이니스프리성장률 둔화등이부담으로작용. 하반기이후실적개선더디게진행될것. 에 뛰드와이니스프리브랜드성장사이클이예상보다빠르게둔화되어

아모레 G ( KS) 낮아진기대치를하회 Company Comment 아모레퍼시픽의실적부진과함께, 에뛰드적자전환, 이니스프리성장률 둔화등이부담으로작용. 하반기이후실적개선더디게진행될것. 에 뛰드와이니스프리브랜드성장사이클이예상보다빠르게둔화되어 (002790.KS) 낮아진기대치를하회 Company Comment 2018. 7. 27 아모레퍼시픽의실적부진과함께, 에뛰드적자전환, 이니스프리성장률 둔화등이부담으로작용. 하반기이후실적개선더디게진행될것. 에 뛰드와이니스프리브랜드성장사이클이예상보다빠르게둔화되어전 략수정필요한국면으로판단 아모레 P 보다이니스프리 / 에뛰드가더부진 동사의 2 분기연결매출액과영업이익은각각

More information

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal LIG Research Division Company Analysis 216/4/29 Analyst 신현준ㆍ 2)6923-7336 ㆍ anthony88@ligstock.com 삼성전자 (593KS Buy 유지 TP 1,6, 원유지 ) 별 (Galaxy) 이빛나는밤 전가격대에걸친스마트폰의판매호조및이익안정성확보로, IM 사업부문의실적견인은 1Q16 뿐만아 니라다음분기에도이어질것으로전망됩니다.

More information

Microsoft Word - 20141214174232730.doc

Microsoft Word - 20141214174232730.doc 214년 12월 15일 산업분석 디스플레이 Overweight (유지) 모바일 스펙 경쟁 지속 전망 전략 스마트 폰 조기출시 예상 디스플레이 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 임민규 2-6114-2953 minkyu.lim@hdsrc.com 리서치센터 트위터 @QnA_Research 주요 부품업체에 따르면 내년

More information

2007

2007 Eugene Research 기업분석 2016. 03. 23 SK 머티리얼즈 (036490.KQ) 1Q16 실적순항중 이제부터는플렉서블 OLED 및 3D NAND 투자확대와사업경쟁력강화에주목!! 반도체 / 디스플레이담당이정 Tel. 02)368-6124 / jeonglee@eugenefn.com Junior Analyst 노경탁 Tel. 02)368-6647

More information

Highlights

Highlights 215 년 9 월 3 일 (66) 바닥찾기 매수 ( 유지 ) 주가 (9 월 2 일 ) 34,35 원 목표주가 46, 원 ( 하향 ) 상승여력 33.9% 소현철 (2) 3772-1594 johnsoh@shinhan.com 김민지 (2) 3772-159 minji.kim@shinhan.com KOSPI 1,915.22p KOSDAQ 678.2p 시가총액 액면가

More information

<4D F736F F D FB1E8C0CEC7CA5FBFA4BAF1BCBCB9CCC4DC5FC0DBBCBAC1DF5F>

<4D F736F F D FB1E8C0CEC7CA5FBFA4BAF1BCBCB9CCC4DC5FC0DBBCBAC1DF5F> LIG Research Division Company Analysis 26/7/22 Analyst 김인필ㆍ2)6923-735ㆍipkim@ligstock.com 엘비세미콘 (697KQ Buy 유지 TP 5, 원상향 ) 내년까지안정적성장기대 - 2 분기실적, 영업수익 분기대비감소하나자회사처분손실부분의환입으로양호한실적기대 - PMIC 공급부족현상당분간지속될것으로기대되며내년

More information

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L Sector update Korea / Semiconductors 25 February 2016 NEUTRAL Stocks under coverage Company Rating Price Target price 삼성전자 (005930 KS) BUY 1,172,000 1,550,000 SK 하이닉스 (000660 KS) BUY 30,900 37,000 이오테크닉스

More information

삼성전자 ( KS) 하만인수로전장사업진출본격화 삼성전자는하만인수결정으로 2017 년전장사업추진본격화전망. 금 번전장업체인수로기존사업과시너지확대예상 Company Comment 자동차전장업체인하만을 9.3 조원 (80 억달러 ) 에인수결

삼성전자 ( KS) 하만인수로전장사업진출본격화 삼성전자는하만인수결정으로 2017 년전장사업추진본격화전망. 금 번전장업체인수로기존사업과시너지확대예상 Company Comment 자동차전장업체인하만을 9.3 조원 (80 억달러 ) 에인수결 (005930.KS) 하만인수로전장사업진출본격화 는하만인수결정으로 2017 년전장사업추진본격화전망. 금 번전장업체인수로기존사업과시너지확대예상 Company Comment 2016. 11. 15 자동차전장업체인하만을 9.3 조원 (80 억달러 ) 에인수결정 는미국전장업체하만인터내셔널을경영권프리미엄 28% 를감안한주당 112 달러로인수결정. 하만인수로전장사업본격화전망

More information

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345 217 년 6 월 2 일 I Equity Research SK 하이닉스 (66) 강한실적과양호한업황 2Q17 Preview: 영업이익 2.94조원으로컨센서스상회 SK하이닉스의 217년 2분기매출액은 6.96조원 (YoY +77%, QoQ +11%), 영업이익은 2.94조원 (YoY +549%, QoQ +19%) 으로컨센서스를상회할것으로전망한다. DRAM은모바일비수기를지나신제품출시등으로인해출하량증가,

More information

2019 년 3 월 14 일 SK 하이닉스 (000660) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 Buy (maintain) 목표주가 현재주가 컨센서스대비 상회

2019 년 3 월 14 일 SK 하이닉스 (000660) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 Buy (maintain) 목표주가 현재주가 컨센서스대비 상회 219 년 3 월 14 일 SK 하이닉스 (66) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 2 3779 8425 kjsyndrome@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 상회 부합 하회 Stock Data KOSPI(3/13) 시가총액 발행주식수 2,494.49 pt 655,22

More information

대림산업 ( KS) 저평가요인들이하나씩해소되는중 Company Comment 주가조정으로 NAV( 순자산가치 ) 대비할인율은다시 60% 까지확대. 저 평가요인들은실적개선, 수주확대로해소될전망 년 EPS, BPS 기준 PER 5

대림산업 ( KS) 저평가요인들이하나씩해소되는중 Company Comment 주가조정으로 NAV( 순자산가치 ) 대비할인율은다시 60% 까지확대. 저 평가요인들은실적개선, 수주확대로해소될전망 년 EPS, BPS 기준 PER 5 (000210.KS) 저평가요인들이하나씩해소되는중 Company Comment 2019. 4. 5 주가조정으로 NAV( 순자산가치 ) 대비할인율은다시 60% 까지확대. 저 평가요인들은실적개선, 수주확대로해소될전망. 2019 년 EPS, BPS 기준 PER 5 배, PBR 0.6 배로역사적저평가구간 NAV 대비할인율은 60% 로축소가능성충분 동사에대한 Buy

More information

<4D F736F F D20534BC7CFC0CCB4D0BDBA5FB1E2BEF7BAEAB8AEC7C15F E646F63>

<4D F736F F D20534BC7CFC0CCB4D0BDBA5FB1E2BEF7BAEAB8AEC7C15F E646F63> Company Brief 2013. 3. 13 SK 하이닉스 (000660) Buy 모바일 DRAM 공급부족에주목! Analyst 이세철 (6309-4523) 목표주가 (6개월) 36,000원현재주가 (3.12) : 28,100원소속업종전기, 전자시가총액 (3.12) : 195,058억원평균거래대금 (60일) 1,185.5억원외국인지분율 27.43% 예상EPS(

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17E 3Q17E 4Q17E E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,945 10,339 10,522 11,

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17E 3Q17E 4Q17E E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,945 10,339 10,522 11, 실적 Preview 2017. 4. 18 Outperform(Maintain) 목표주가 : 34,000원주가 (4/17): 30,800원시가총액 : 110,207억원 LG 디스플레이 (034220) Flexible OLED 경쟁력확대가능성존재 Stock Data KOSPI (4/17) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

목표주가산정 (SOTPs 방식 ) 사업부 216F EBITDA EV/EBITDA 가치 비고 영업가치 ( 십억원 ) 반도체 8, ,548 마이크론 3.4배에 1% 할증 합계 8,73 32,548 구분 215F 가치 순차입금 현금 6,592 6,592 ( 십

목표주가산정 (SOTPs 방식 ) 사업부 216F EBITDA EV/EBITDA 가치 비고 영업가치 ( 십억원 ) 반도체 8, ,548 마이크론 3.4배에 1% 할증 합계 8,73 32,548 구분 215F 가치 순차입금 현금 6,592 6,592 ( 십 215 년 1 월 5 일 (66) 긍정적접근이필요하다 매수 ( 유지 ) 주가 (1 월 2 일 ) 34,55 원 목표주가 49, 원 ( 상향 ) 3 분기영업이익은기존추정치상회전망 216 년 1 분기말 DRAM 업황개선전망 목표주가 49, 원으로상향, 투자의견 매수 유지 상승여력 41.8% 소현철 (2) 3772-1594 johnsoh@shinhan.com 김민지

More information

정유, 2 분기유가상승, 견조한정제마진효과를보다 정유 2개사 2분기추정영업이익 1.2조원 ( 흑전 y-y) 으로컨센서스 36% 상회추정 당사가커버하는정유업체 SK이노베이션, S-Oil의 215년 2분기영업실적은매출액 17.5조원 (-27% y-y, +7% q-q), 영

정유, 2 분기유가상승, 견조한정제마진효과를보다 정유 2개사 2분기추정영업이익 1.2조원 ( 흑전 y-y) 으로컨센서스 36% 상회추정 당사가커버하는정유업체 SK이노베이션, S-Oil의 215년 2분기영업실적은매출액 17.5조원 (-27% y-y, +7% q-q), 영 Industry Report 215. 7. 7 화려했던상반기, 경계해야할하반기 유가상승, 마진개선등으로 2 분기정유 / 화학업체영업이익큰폭개선추정. 하지만하반기영업이익은공급량증가, 높은가격에대한저항등으로상반기대비감소예상. 하반기보수적인접근속에이익차별화, 저평가기업에대한선별적투자제시 정유, 상고하저시황속에저평가기업에투자 커버리지정유사의 215 년 2 분기영업실적은국제유가상승등으로매출액

More information

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final Apr 4, 216 Part 1 #1 반도체산업 IT Convergence vs Divergence [반도체] 최도연 3771-977 doyeon@iprovest.com [IDEA] IT Divergence 시대에서의 반도체 산업 전망 [업황] 메모리 반도체는 공급 초과. 비메모리는 재고 상당량 해소 [섹터뷰] 향후 반도체 수요 방향성 - DRAM

More information

삼성테크윈 ( KS) Company Analysis Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02) , youn

삼성테크윈 ( KS) Company Analysis Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02) , youn (012450.KS) Company Analysis 2013. 1. 31 Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02)768-7585, young.park@wooriwm.com 2013 년보다는 2014 년실적이기대되는회사 투자의견 Hold 및목표주가

More information

Company Brief SK 하이닉스 (000660) 4Q14, 안정적실적흐름지속전망 Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 53,000 원 현재주가 (10.14) : 43,300 원 소속업종 반도체 시가총액 (

Company Brief SK 하이닉스 (000660) 4Q14, 안정적실적흐름지속전망 Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 53,000 원 현재주가 (10.14) : 43,300 원 소속업종 반도체 시가총액 ( SK 하이닉스 (000660) 4Q14, 안정적실적흐름지속전망 2014. 10.15 Analyst 박유악 (6309-4523) Buy 목표주가 (6 개월 ) 53,000 원 현재주가 (10.14) : 43,300 원 소속업종 반도체 시가총액 (10.14) : 313,762 억원 평균거래대금 (60 일 ) 1,757.0 억원 외국인지분율 48.47% 예상 EPS(

More information

SK 하이닉스 (66) SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비고 : 최저점수준의 P/B Multiple ( 배 ) 3.5 SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 )

SK 하이닉스 (66) SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비고 : 최저점수준의 P/B Multiple ( 배 ) 3.5 SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 ) Company Update 218. 3. 8 BUY(Maintain) 목표주가 : 12,원주가 (3/7): 82,7원시가총액 : 62,58억원 SK 하이닉스 (66) 사상최대실적과 3D NAND 투자확대 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 1Q18 영업이익 4.5 조원, 2Q18 영업이익 4.9

More information

실적 Review BUY(Maintain) 목표주가 : 2,100,000원주가 (1/24): 1,908,000원시가총액 : 2,996,376억원 삼성전자 (005930) 2017 년영업이익 36 조원전망 Stock Data KOSPI (1/24)

실적 Review BUY(Maintain) 목표주가 : 2,100,000원주가 (1/24): 1,908,000원시가총액 : 2,996,376억원 삼성전자 (005930) 2017 년영업이익 36 조원전망 Stock Data KOSPI (1/24) 실적 Review 2017. 1. 25 BUY(Maintain) 목표주가 : 2,100,000원주가 (1/24): 1,908,000원시가총액 : 2,996,376억원 삼성전자 (005930) 2017 년영업이익 36 조원전망 Stock Data KOSPI (1/24) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29>

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29> 2018 년 5 월 16 일 삼영전자 (005680) 기업분석 Mid-Small Cap 1Q18 Review: 영업이익 +26.7 Analyst 정홍식 02 3779 8688 hsjeong@ebestsec.co.kr 1Q18 Review: Sales +14.2, OP +26.7 동사의 1Q18 실적은매출액 611 억원 (+14.2% yoy), 영업이익 26

More information

Microsoft Word - SEC_0201

Microsoft Word - SEC_0201 218 년 2 월 1 일 I Equity Research 삼성전자 (593) 아쉬운디스플레이, 그래도반도체가있다 4Q17 Review: 반도체사업부견조한이익재확인삼성전자의 17년 4분기매출액은 65.98 조원 (YoY +24%, QoQ +6%), 영업이익은 15.15조원 (YoY +64%, QoQ +4%) 을기록했다. 반도체사업부는특별상여금이반영되었음에도불구하고영업이익이전분기대비

More information

Microsoft Word - I001_UNIT_ _ doc

Microsoft Word - I001_UNIT_ _ doc 2013-7-10 인터넷 / 게임 2Q13 Preview: 성장스토리유효 비중확대 ( 유지 ) Analyst 최관순 ks1.choi@sk.com +82-3773-8812 2Q13: 시장기대치소폭하회 인터넷포털 : 1 위사업자영향력강화 게임 : 해외성과에대한프리미엄 업종및투자포인트 구분투자의견 / 목표주가투자포인트 인터넷 / 게임 비중확대 NHN 매수 / 350,000원

More information

가치합산방식으로산출된아모레 G 의목표주가 21 만 8,000 원 ( 단위 : %, 십억원, 원 ) 지분율적정가치주당가치밸류에이션방법 아모레퍼시픽 35 9, ,510 목표주가 43.3 만원적용 에뛰드 년 PER 15 배 이니스프

가치합산방식으로산출된아모레 G 의목표주가 21 만 8,000 원 ( 단위 : %, 십억원, 원 ) 지분율적정가치주당가치밸류에이션방법 아모레퍼시픽 35 9, ,510 목표주가 43.3 만원적용 에뛰드 년 PER 15 배 이니스프 (002790.KS) Company Comment 2015. 8. 17 이니스프리지분가치상승을선호 2 분기실적은컨센서스수준. 예상수준의실적낸아모레 P 이외에, 특이점은면세 채널타격으로인한이니스프리성장률소폭둔화, 에뛰드적자전환, 비화장품계열사흑자전환등. 아모레 P 의가치상승잠재력을함께공유하면서, 이니스프리의해외확장에따른지분가치증가까지향유하는아모레 G 를선호.

More information

Company Update BUY(Maintain) 목표주가 : 3,400,000원주가 (4/6): 2,420,000원시가총액 : 3,470,573억원 삼성전자 (005930) 2Q18 영업이익 16.7 조원, 사상최대실적지속 Stock Data K

Company Update BUY(Maintain) 목표주가 : 3,400,000원주가 (4/6): 2,420,000원시가총액 : 3,470,573억원 삼성전자 (005930) 2Q18 영업이익 16.7 조원, 사상최대실적지속 Stock Data K Company Update 218. 4. 9 BUY(Maintain) 목표주가 : 3,4,원주가 (4/6): 2,42,원시가총액 : 3,47,573억원 삼성전자 (593) 2Q18 영업이익 16.7 조원, 사상최대실적지속 Stock Data KOSPI (4/6) 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com

More information

2017 년 10 월 27 일 I Equity Research SK 하이닉스 (000660) 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익

2017 년 10 월 27 일 I Equity Research SK 하이닉스 (000660) 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익 217 년 1 월 27 일 I Equity Research 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익은 3.74조원 (YoY +415%, QoQ +23%) 으로컨센서스에부합하는실적을달성했다. DRAM 은서버향제품의수요강세가지속되는가운데모바일향제품의계절적수요증가로인해가격이전분기대비

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

Highlights

Highlights 2014 년 7 월 4 일 (036490) 굿바이 PDP TV 매수 ( 유지 ) 현재주가 (7 월 3 일 ) 38,150 원 목표주가 49,000 원 ( 상향 ) 상승여력 28.4% 소현철 (02) 3772-1594 johnsoh@shinhan.com 박광래 (02) 3772-1513 krpark@shinhan.com KOSPI 2,010.97p KOSDAQ

More information

(Microsoft Word - SK hynix_0620 _\274\366\301\244_)

(Microsoft Word - SK hynix_0620 _\274\366\301\244_) 218 년 6 월 21 일 I Equity Research 우려에도호실적지속 2Q18 Preview: 영업이익 5.2조원으로상향 SK하이닉스의 18년 2분기매출액은 1.14 조원 (YoY +52%, QoQ +16%), 영업이익 5.2조원 (YoY +71%, QoQ +19%) 으로전망한다. 하나금융투자는 SK하이닉스의 18년 2분기 DRAM bit 출하가이던스

More information

2019 년 3 월 27 일 나이스디앤비 (130580) 기업분석 Mid-Small Cap 안정적인성장성 Analyst 정홍식 기업개요동사는글로벌기업정보서비스 ( 매출비중 22.3%), 기업신용인증서비스

2019 년 3 월 27 일 나이스디앤비 (130580) 기업분석 Mid-Small Cap 안정적인성장성 Analyst 정홍식 기업개요동사는글로벌기업정보서비스 ( 매출비중 22.3%), 기업신용인증서비스 219 년 3 월 27 일 나이스디앤비 (1358) 기업분석 Mid-Small Cap 안정적인성장성 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr 기업개요동사는글로벌기업정보서비스 ( 매출비중 22.3%), 기업신용인증서비스 ( 매출비중 52.4%), 거래처관리서비스 ( 매출비중 25.2%) 사업을영위하고있다. 동종기업은이크레더블

More information

Highlights

Highlights 215 년 2 월 25 일 (3364) 삼성전자비메모리경쟁력회복의최대수혜 매수 ( 유지 ) 주가 (2 월 24 일 ) 1,15 원 목표주가 13, 원 ( 상향 ) 상승여력 28.1% 김영찬 (2) 3772-1595 youngkim@shinhan.com 김민지 (2) 3772-159 minji.kim@shinhan.com KOSPI 1,976.12p KOSDAQ

More information

Microsoft Word _semicon_comment_final.doc

Microsoft Word _semicon_comment_final.doc Issue&News 산업분석 211.16 반도체 Overweight [ 비중확대, 유지 ] DRAM 상승세 2 월까지지속될전망 투자포인트 Top pick 강정원 769.362 jeffkang@daishin.com 삼성전자 Buy 목표주가 32% 2,, 종목명 투자의견 목표주가 삼성전자 Buy 2,, 원 SK 하이닉스 Buy 32, 원 한미반도체 Buy 1,5

More information

목표주가산정 (SOTPs 방식 ) 사업부 2018F EBITDA EV/EBITDA 가치 비고 영업가치 디스플레이 6, ,739 삼성전자 3배에 10% 할인 ( 십억원 ) 합계 16,739 구분 2018F 가치 순차입금 현금 2,429 2,429 ( 십억

목표주가산정 (SOTPs 방식 ) 사업부 2018F EBITDA EV/EBITDA 가치 비고 영업가치 디스플레이 6, ,739 삼성전자 3배에 10% 할인 ( 십억원 ) 합계 16,739 구분 2018F 가치 순차입금 현금 2,429 2,429 ( 십억 2017 년 8 월 25 일 (034220) TV 산업의빅뱅 : 소니 OLED TV 런칭성공 매수 ( 유지 ) 주가 (8 월 24 일 ) 30,400 원 목표주가 원 ( 유지 ) 2 분기소니 TV 영업이익률이삼성전자와 LG 전자를추월 2018 년 2 분기영업이익반등전망 목표주가 원, 투자의견 매수 유지 상승여력 31.6% 소현철 (02) 3772-1594 johnsoh@shinhan.com

More information

Highlights

Highlights 2017 년 7 월 26 일 (034220) LCD 가격하락 vs. OLED 기대감 매수 ( 유지 ) 주가 (7 월 25 일 ) 32,800 원 목표주가 40,000 원 ( 하향 ) 상승여력 22.0% 2분기영업이익 8,040 억원 (-21.7% QoQ) 으로컨센서스하회 2017년영업이익 3.06 조원으로기존추정치 (3.5조원) 대비 14% 하회할전망 2019년

More information

SK증권 f

SK증권 f S-Oil (010950/KS 매수( 유지 ) T.P 100,000 원 ( 하향 )) 이익과투자모두좋지못한타이밍 2Q19 영업이익추정치는 -273 억원으로서컨센서스를크게하회할것으로예상함. 정유는유가하락 / 정제마진약세가나타났고, 화학에서도 PX 의지속적인가격하락이악재로작용하였음. 차후주가의방향성을보더라도중국발공급과잉속에정유 / 화학모두실적회복이쉽지않고, 게다가최근연이은화학투자의타이밍이좋지못한만큼,

More information

2016년 상반기 게임 사업부문 긍정적 모멘텀 기대 마블TsumTsum의 일본시장 성공 기대 - 2분기 중 미국 및 글로벌 출시 예정 지난해 하반기 이후 NHN엔터테인먼트의 모바일 게임 성과는 상당히 양호한 수준 이다. 출시된 지 2년이 지난 라인TsumTsum 는 지

2016년 상반기 게임 사업부문 긍정적 모멘텀 기대 마블TsumTsum의 일본시장 성공 기대 - 2분기 중 미국 및 글로벌 출시 예정 지난해 하반기 이후 NHN엔터테인먼트의 모바일 게임 성과는 상당히 양호한 수준 이다. 출시된 지 2년이 지난 라인TsumTsum 는 지 (181710.KS) 게임 사업은 완전한 회복세 Company Note 2016. 2. 29 Payco 사업의 적자에도 불구하고, 요괴워치 의 성공과 마블TsumTsum 의 양호한 초기 성과로 모바일 게임의 긍정적 모멘텀 기대 마블 TsumTsum의 성공 기대 + 웹보드 규제 완화 NHN엔터테인먼트가 개발하고 일본 Mixi가 퍼블리싱한 마블TsumTsum 가

More information

In-depth 반도체소재 솔브레인 (036830) 반도체중심견조한실적개선세전망 Investment Point - 2Q18 매출액 2,383 억원 (+9.4% QoQ, +29.2% YoY), 영업이익 433억원 (+14.2% QoQ, +75.6% YoY) 기록. 당사와

In-depth 반도체소재 솔브레인 (036830) 반도체중심견조한실적개선세전망 Investment Point - 2Q18 매출액 2,383 억원 (+9.4% QoQ, +29.2% YoY), 영업이익 433억원 (+14.2% QoQ, +75.6% YoY) 기록. 당사와 솔브레인 (3683) 반도체중심견조한실적개선세전망 Investment Point - 2Q18 매출액 2,383 억원 (+9.4% QoQ, +29.2% YoY), 영업이익 433억원 (+14.2% QoQ, +75.6% YoY) 기록. 당사와시장컨센서스를상회하는호실적 - 동사매출비중은반도체 63%, DP 29%, 기타 8% 순. DP 부문외형성장정체에도불구반도체부문호조로중장기실적개선세유효.

More information

SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비교 : 평균수준에도못미치는 P/B Multiple ( 배 ) SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 )

SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비교 : 평균수준에도못미치는 P/B Multiple ( 배 ) SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 ) Company Update 218. 4. 18 BUY(Maintain) 목표주가 : 12,원주가 (4/17): 82,8원시가총액 : 62,786억원 SK 하이닉스 (66) 2Q18 영업이익 4.8 조원, 사상최대실적전망 Stock Data KOSPI (4/17) 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com

More information

LG 디스플레이 ( KS) 최선이되기에충분하다 Company Comment 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upsid

LG 디스플레이 ( KS) 최선이되기에충분하다 Company Comment 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upsid (034220.KS) 최선이되기에충분하다 Company Comment 2016. 7. 28 2 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upside Potential 을강화하는요인으로판단 2 분기영업이익시장기대치상회 2 분기실적은매출액 5.9 조원 (-2%

More information

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\))

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\)) 218 년 5 월 9 일 에스텍 (6951) 기업분석 Mid-Small Cap Valuation 저평가 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 17, 원 1,6 원 상회부합하회 자동차용스피커 & 모바일이어폰성장동사는자동차용스피커와모바일이어폰에서성장성이부각되고있다.

More information

실적 Preview BUY(Maintain) 목표주가 : 1,950,000원주가 (9/5): 1,606,000원시가총액 : 2,546,326억원 삼성전자 (005930) 부품사업 ( 반도체, DP) 의실적증가세지속 Stock Data KOSPI (9

실적 Preview BUY(Maintain) 목표주가 : 1,950,000원주가 (9/5): 1,606,000원시가총액 : 2,546,326억원 삼성전자 (005930) 부품사업 ( 반도체, DP) 의실적증가세지속 Stock Data KOSPI (9 실적 Preview 2016. 9. 6 BUY(Maintain) 목표주가 : 1,950,000원주가 (9/5): 1,606,000원시가총액 : 2,546,326억원 삼성전자 (005930) 부품사업 ( 반도체, DP) 의실적증가세지속 Stock Data KOSPI (9/5) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

Microsoft Word doc

Microsoft Word doc 216 년 1 월 25 일산업분석 디스플레이 Overweight ( 유지 ) 디스플레이, 가전, 휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com / RA 김범수 2-6114-2932 bk.kim@hdsrc.com 애플, OLED 전환가속화전망 향후 3 년간 OLED 시장은한국이독과점적공급구조형성예상 - 외신보도에따르면일본

More information

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx) 산업분석 반도체/디스플레이 이베스트투자증권 어규진입니다. 작년부터 반도체/디스플레이 업황이 뜨겁습니다. Gate 가 부족하기 때문이죠. 반도체와 디스플레이의 수급이 타이트하다는 의미입니다. 과거 반도체/디스플레이 1 차 업황호조가 공격적인 투자집행에 따른 대규모 라인증설 때문이었다면, 금번 2 차 업황호조는 대규모 투자에 따른 과다경쟁 없이도 공정의 미세화,

More information

표 1. 삼성전기실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 매출액 - 수정후 6, , , , 수정전 - - 6, , 변동률

표 1. 삼성전기실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 매출액 - 수정후 6, , , , 수정전 - - 6, , 변동률 (009150.KS) 갤럭시 S8 출시로실적개선전망 삼성전기는 2017 년상반기갤럭시 S8 출시로 2017 년 1 분기실적회복 예상. 또한듀얼렌즈카메라와전장사업본격화가전망됨에따라목표주 가를 6.5 만원에서 8 만원으로상향 2017 년 1 분기전사업부실적개선으로흑자전환전망 2017 년 1 분기매출 1,432 억원, 영업이익 303 억원으로전분기대비흑자전환전망

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

Microsoft Word - 131007_LG전자_3Q13 프리뷰_.doc

Microsoft Word - 131007_LG전자_3Q13 프리뷰_.doc 기업분석 2013. 10. 07 LG전자(066570.KS) 3Q13 Preview: 3분기 부진했지만 4분기부터 개선 전망 전기전자 담당 윤혁진 Tel. 368-6499 / hjyoon@eugenefn.com 시장 Consensus 대비 Above In-line Below O BUY(신규) 목표주가(12M, 신규) 86,000원 현재주가(10/4) 67,200원

More information

삼성전자 (005930) 3 분기잠정실적 : 우려대비양호 2018 년 10 월 5 일 디스플레이 / 가전 Analyst 김동원 연구원황고운 연구원김형태 0

삼성전자 (005930) 3 분기잠정실적 : 우려대비양호 2018 년 10 월 5 일 디스플레이 / 가전 Analyst 김동원 연구원황고운 연구원김형태 0 3 분기잠정실적 : 우려대비양호 디스플레이 / 가전 Analyst 김동원 02-6114-2913 jeff.kim@kbfg.com 연구원황고운 02-6114-2932 goun.whang@kbfg.com 연구원김형태 02-6114-2977 calebkim@kbfg.com 목표주가 55,000 원하향, 투자의견 Buy 유지 KB증권은 4분기실적전망을반영해 2018년,

More information

펄어비스 ( KQ) 중국시장이기다린다 Company Comment 신작지연에따라모멘텀공백기에진입하면서주가는부진. 하지만, 일 본에서의성공과중국진출에대한기대감이반영될수있을것 일본에서의성공. 이제는중국이다 펄어비스에대한투자의견 Buy 를유지.

펄어비스 ( KQ) 중국시장이기다린다 Company Comment 신작지연에따라모멘텀공백기에진입하면서주가는부진. 하지만, 일 본에서의성공과중국진출에대한기대감이반영될수있을것 일본에서의성공. 이제는중국이다 펄어비스에대한투자의견 Buy 를유지. (2637.KQ) 중국시장이기다린다 Company Comment 219. 4. 3 신작지연에따라모멘텀공백기에진입하면서주가는부진. 하지만, 일 본에서의성공과중국진출에대한기대감이반영될수있을것 일본에서의성공. 이제는중국이다 에대한투자의견 Buy 를유지. 검은사막모바일이일본시장에서성공을거두어 2 분기이후실적회복이예상되며, 중국시장에대한기대감이다시반영될시기라는점에서긍정적영향기대

More information

에스엘 ( KS) Comment Price Trend Hold ( 하향 ) 목표주가 17,000 원 ( 하향 ) 현재가 ( 13/02/25) Analyst 오승준 02) ,

에스엘 ( KS) Comment Price Trend Hold ( 하향 ) 목표주가 17,000 원 ( 하향 ) 현재가 ( 13/02/25) Analyst 오승준 02) , (8.KS) Comment 213. 2. 26 Price Trend 16 13 1 7 4 Hold ( 하향 ) 목표주가 17, 원 ( 하향 ) 현재가 ( 13/2/2) Analyst 오승준 2)768-764, june.oh@wooriwm.com KOSPI '12.2 '12.4 '12.6 '12.8 '12.1 '12.12 1,2 원 조수홍 2)768-764,

More information

<4D F736F F D BC7CFC0CCB4D0BDBA5FB3BDB5E5B0A120B9DEC3C4C1D8B4D92E646F63>

<4D F736F F D BC7CFC0CCB4D0BDBA5FB3BDB5E5B0A120B9DEC3C4C1D8B4D92E646F63> Company Brief 2012. 10. 10 SK 하이닉스 (000660) Buy 낸드가받쳐준다! Analyst 이세철 (6309-4523) 목표주가 (6개월) 33,000원 현재주가 (10.9) : 23,550원 소속업종 전기, 전자 시가총액 (10.9) : 163,466억원 평균거래대금 (60일) 1,303.0억원 외국인지분율 24.61% 주가그래프

More information

전기버스용대용량전지납품으로하반기중대형전지영업손실폭축소기대 LG화학차량용중대형전지매출전망을기존 15년 6, 억원, 16년 1조원에서 15년 6,5 억원, 16년 1.2조원으로상향조정. 동사는 16년르노, GM, 아우디, 볼보, 상해기차등글로벌완성차에대한 xev( 전기차

전기버스용대용량전지납품으로하반기중대형전지영업손실폭축소기대 LG화학차량용중대형전지매출전망을기존 15년 6, 억원, 16년 1조원에서 15년 6,5 억원, 16년 1.2조원으로상향조정. 동사는 16년르노, GM, 아우디, 볼보, 상해기차등글로벌완성차에대한 xev( 전기차 (5191.KS) Company Note 215. 9. 14 16 년이더기대되는업종대표주 3 분기예상영업이익은 5,266 억원으로기존예상치를크게벗어나지않을전망. 16 년에는 Olefin 시황호조, 프리미엄화학제품비중확대, 편광필름, LCD 글라스라인증설그리고중대형전지매출의성장등으로영업이익증가세를이어갈전망 최근주가반등 : 3 분기영업실적선전예상, 중대형배터리매출성장본격화

More information

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation 216 년 1 월 27 일 I Equity Research LG 전자 (6657) 가전, TV 수익성확인과전장부품이라는미래 4Q15 Review: 영업이익 3,49억원으로컨센서스상회 LG전자의 15년 4분기매출액은 14조 5,61억원 (YoY -4%, QoQ +4%), 영업이익은 3,49억원 (YoY +29%, QoQ +19%) 으로컨센서스를상회하는호실적을달성했다.

More information

이슈코멘트 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI (

이슈코멘트 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI ( 이슈코멘트 2016. 10. 12 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI (10/11) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

Highlights

Highlights 214 년 11 월 18 일 (352) 모바일向오피스 SW 경쟁력확인필요 매수 ( 유지 ) 주가 (11 월 17 일 ) 19,25 원 목표주가 26,5 원 ( 하향 ) 상승여력 37.7% 김현석 (2) 3772-2884 hskim16@shinhan.com 3 분기별도기준매출액 182 억원 (+2% YoY), 영업이익 64 억원 (+23% YoY) 기록 4 분기영업이익

More information

Microsoft Word - 2016041323012959K_01_15.docx

Microsoft Word - 2016041323012959K_01_15.docx 아모레G (002790) 부진 계열사들의 턴어라운드 비-아모레퍼시픽 계열사들의 1Q16 매출액과 영업이익은 각각 전년대비 13%, 30% 증가할 것으로 추정 그 동안 부진했던 계열사들이 턴어라운드하며 아모레퍼시픽보다 빠른 영업이익 성장이 나타나기 시작 비-아모레퍼시픽 계열사들에 대해서는 기존 실적 전망 유지하나 아모레퍼시픽 실적전망 상향조정 반영하여 아모레G

More information

2013년 0월 0일

2013년 0월 0일 219 년 8 월 7 일 I Equity Research 와이솔 (12299) RF 모듈이발목잡다 2Q19 Preview: 영업이익 89억원으로전망와이솔의 19년 2분기매출액은 1,133 억원 (YoY -2%, QoQ +2%), 영업이익은 9억원 (YoY -37%, QoQ +35%) 으로전망한다. 이는컨센서스매출액 1,132 억원에부합하고, 영업이익 122억원을하회한다.

More information

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\))

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\)) 218 년 5 월 8 일 퍼시스 (168) 기업분석 Mid-Small Cap 안정적인현금흐름 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 42, 원 3,6 원 상회부합하회 Stock Data KOSPI (5/4) 시가총액 발행주식수 2,461.38pt 3,519

More information

Company Note 삼성전자 (005930) BUY / TP 3,400,000 원 Analyst 노근창 02) Wafer 와 Chip 모두부족하다 현재주가 (12/21) 상승여력 2,457,000

Company Note 삼성전자 (005930) BUY / TP 3,400,000 원 Analyst 노근창 02) Wafer 와 Chip 모두부족하다 현재주가 (12/21) 상승여력 2,457,000 Company Note 2017. 12. 22 삼성전자 (005930) BUY / TP 3,400,000 원 Analyst 노근창 02) 3787-2301 greg@hmcib.com Wafer 와 Chip 모두부족하다 현재주가 (12/21) 상승여력 2,457,000 원 38.38% 시가총액발행주식수자본금 / 액면가 328,427 십억원 129,098 천주

More information

Highlights

Highlights 2016 년 8 월 16 일 (041510) 쉬어가는국면 매수 ( 유지 ) 주가 (8 월 12 일 ) 29,700 원 목표주가 40,000 원 ( 하향 ) 상승여력 34.7% 김현석 (02) 3772-2884 hskim1006@shinhan.com KOSPI 2,050.47p KOSDAQ 705.18p 시가총액 액면가 발행주식수 646.1 십억원 500 원

More information

Microsoft Word - C001_UNIT_ _

Microsoft Word - C001_UNIT_ _ 14 LG 는 IT 가아닌자동차의르네사스를인수한셈 매수 ( 유지 ) T.P 32,000 원 ( 유지 ) Analyst 정한섭 hanchong@sk.com +823773900 Company Data 자본금 81 억원 발행주식수 1,626 만주 자사주 74 만주 액면가 00 원 시가총액 4,326 억원 주요주주 ( 주 ) 코멧네트워크 16.2% 한대근 4. 외국인지분률.

More information

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4 (019680) 2007 321 COMPANY INITIATION, CFA 02) 3772-1557 02) 3772-1568 emilypark@goodi.com Kitten78@goodi.com KOSPI : 1444.17p KOSDAQ : 645.37p : 756.4 : 5,000 : 8.5 : 3.4 (39.8%) 52 / : 95,400 /65,600

More information

실적 전망 4분기 실적 역시 시장 기대치 상단으로 판단 4분기에도 영업이익 7조원 이상의 양호한 실적 예상 충당금 제외 시 7.9조원 213년 연간 영업이익 31.9조원(+15.2% YoY) 예상 삼성전자의 4Q12 실적은 매출액 54.4조원(+4.5% QoQ, +14

실적 전망 4분기 실적 역시 시장 기대치 상단으로 판단 4분기에도 영업이익 7조원 이상의 양호한 실적 예상 충당금 제외 시 7.9조원 213년 연간 영업이익 31.9조원(+15.2% YoY) 예상 삼성전자의 4Q12 실적은 매출액 54.4조원(+4.5% QoQ, +14 212. 1. 8 기업분석 삼성전자 (593/매수) 반도체 4분기 실적도 희망적이다! What s New: 시장의 기대치를 뛰어넘은 3분기 실적 송종호 2-768-3722 james.song@dwsec.com 삼성전자의 3분기 실적은 시장 컨센서스(영업이익 7.6조원)를 뛰어넘는 Earnings Surprise 로 나타났다. 3Q12 잠정 실적은 매출액 52.조원(+9.3%,

More information

Company Update BUY(Maintain) 목표주가 : 95,000원주가 (9/11): 73,800원시가총액 : 537,266억원 SK 하이닉스 (000660) Take me to a higher place Stock Data KOSPI

Company Update BUY(Maintain) 목표주가 : 95,000원주가 (9/11): 73,800원시가총액 : 537,266억원 SK 하이닉스 (000660) Take me to a higher place Stock Data KOSPI Company Update 217. 9. 11 BUY(Maintain) 목표주가 : 95,원주가 (9/11): 73,8원시가총액 : 537,266억원 SK 하이닉스 (66) Take me to a higher place Stock Data KOSPI (9/11) 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com

More information

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc SK하이닉스 (66) 212. 9. 19 기업분석 Analyst 안성호 2. 3772-7475 shan@hanwha.com RA. 고정우 2. 3772-7466 jungwoo.ko@hanwha.com Buy(maintain) 목표주가: 31,원(유지) 주가(9/18): 22,85원 Stock Data KOSPI(9/18) 2,4.96pt 시가총액 158,67억원

More information

0904fc52803e572c

0904fc52803e572c 212. 4. 24 기업분석 (5619/매수) 디스플레이 상반기 부진은 하반기에 보상된다 투자의견 매수 유지, 목표주가 7,원으로 13% 하향 조정 에 대한 투자의견을 매수로 유지하지만 목표주가는 7,원으로 13% 하향 조정한다. 목표주가를 하향 조정하는 이유는 삼성디스플레이와 SMD 합병에 따른 발주 지 연으로 12~13년 EPS를 각각 13%, 18% 하향

More information

Company Update BUY(Maintain) 목표주가 : 3,100,000원 ( 상향 ) 주가 (9/8): 2,454,000원시가총액 : 3,549,207억원 삼성전자 (005930) 3Q17 영업이익총 15 조원, 반도체 10 조원전망 S

Company Update BUY(Maintain) 목표주가 : 3,100,000원 ( 상향 ) 주가 (9/8): 2,454,000원시가총액 : 3,549,207억원 삼성전자 (005930) 3Q17 영업이익총 15 조원, 반도체 10 조원전망 S Company Update 217. 9. 1 BUY(Maintain) 목표주가 : 3,1,원 ( 상향 ) 주가 (9/8): 2,454,원시가총액 : 3,549,27억원 삼성전자 (593) 3Q17 영업이익총 15 조원, 반도체 1 조원전망 Stock Data KOSPI (9/8) 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com

More information

삼성전자 OLED 실적및가동률추이 삼성디스플레이분기영업이익추이및전망 ( 십억원 ) 2, 삼성전자중소형 OLED 가동률추이및전망 (%) 1 1,5 1, (5) 1Q15 3Q15 1Q16 3Q16 1Q17 3Q17 1Q18 3Q18F 자료 :: 회사자료.

삼성전자 OLED 실적및가동률추이 삼성디스플레이분기영업이익추이및전망 ( 십억원 ) 2, 삼성전자중소형 OLED 가동률추이및전망 (%) 1 1,5 1, (5) 1Q15 3Q15 1Q16 3Q16 1Q17 3Q17 1Q18 3Q18F 자료 :: 회사자료. 218 년 6 월 1 일 삼성전자와소재주, OLED 가동률회복수혜 비중확대 ( 유지 ) 최도연 2) 3772-1558 doyeon@shinhan.com 삼성전자와소재주, OLED 가동률하락으로최근주가부진 3Q18 부터삼성전자 OLED 가동률급증할전망 삼성전자와소재주들의주가회복예상 임지용 2) 3772-3845 jiyong.im@shinhan.com 삼성전자와소재주,

More information

<4D F736F F D B4EBC7D1BEE0C7B028BFC2B6F3C0CE29>

<4D F736F F D B4EBC7D1BEE0C7B028BFC2B6F3C0CE29> 217 년 5 월 16 일 대한약품 (2391) 1Q17 Review 영업이익큰폭증가 기업분석 Mid-Small Cap Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 4, 원 29,7 원 상회부합하회 Stock Data KOSDAQ (5/15) 시가총액 발행주식수

More information

Company Brief 삼성전자 (005930) [Growth Opportunity] 14nm FinFET 양산 Start!! Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,600,000 원현재주가 (12.22)

Company Brief 삼성전자 (005930) [Growth Opportunity] 14nm FinFET 양산 Start!! Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,600,000 원현재주가 (12.22) 삼성전자 (593) [Growth Opportunity] 14nm FinFET 양산 Start!! 214. 12. 23 Analyst 박유악 (639-4523) Buy 목표주가 (6 개월 ) 1,6, 원현재주가 (12.22) : 1,345, 원소속업종반도체ㆍ디스플레이시가총액 (12.22) : 1,981,176 억원평균거래대금 (6 일 ) 3,277.2 억원외국인지분율

More information

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 2016. 8. 1 7 월 PC DRAM 고정가는 4GB DDR3 모듈기준 13.25 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼성전자, SK 하이닉스긍정적 7 월 PC DRAM 고정가는현물가상승영향으로전월대비상승 7 월 PC DRAM

More information