Microsoft PowerPoint - CHAP-03 [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - CHAP-03 [호환 모드]"

Transcription

1 컴퓨터구성 Lecture Series #4 Chapter 3: Data Representation Spring, 2013 컴퓨터구성 : Spring, 2013: No. 4-1

2 Data Types Introduction This chapter presents data types used in computers for representing diverse numbers and characters Binary Information Data Information Numbers & other binary-coded info. to be used in computation Control Information Sequence of command signals needed for data manipulation such as programmed instructions Data Types Numbers used in arithmetic computations Alphabet letters in data processing Other discrete symbols for specific purposes Grey level data, etc. 컴퓨터구성 : Spring, 2013: No. 4-2

3 Data Types Number System The number system of base, or radix, r is a system that uses r distinct symbols for r digits Example 1: Decimal System (Radix r = 10) 10 distinct symbols: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Quantity of 724.5: 7 x x x x 10-1 Example 2: Binary System (Radix r = 2) 2 distinct symbols: 0, 1 Quantity of 101.1: 1 x x x x 2-1 컴퓨터구성 : Spring, 2013: No. 4-3

4 Data Types Number System Octal System (Radix r = 8) 8 distinct symbols: 0, 1, 2, 3, 4, 5, 6, 7 Hexa-Decimal System (Radix r = 16) 16 distinct symbols: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F Quantity of 4F3 4 x F x x 16 0 = (1267) A F 5 Octal Binary Hexa Conversion between number systems is your job!! 컴퓨터구성 : Spring, 2013: No. 4-4

5 Data Types Examples: Octal & Hexa Octal Number Binary-coded Octal Decimal HexadecimalBinary-coded Number hexadecimal Decimal Code for one octal digit A B C D E F 14 F Code for one hexadecimal digit 컴퓨터구성 : Spring, 2013: No. 4-5

6 Data Types Decimal Representation BCD (Binary-Coded Decimal) Only 0-9 are represented with 4 bits To make conversion to decimal numbers easy after computation 16 distinct binary combinations are unassigned (not used) Wastes 6 combinations Decimal Number Binary-coded Decimal (BCD) Code for one decimal digit 컴퓨터구성 : Spring, 2013: No. 4-6

7 Data Types Many applications require handling of not only numbers but also alphabet letters and special characters ASCII Code 8 bits (including a parity bit) Differences b/w Lower case & Upper case alphabets are 32 BCD with leading 011 are ASCII Alphanumeric Representation Char. Binary code Char. Binary code A B C D E F G H I J K L M SPACE N O ( P Q $ R * S ) T U / V , W = X Y Z 컴퓨터구성 : Spring, 2013: No. 4-7

8 Complements (r-1) s Complement Used for simplifying subtraction & logical manipulations (r-1) s Complement Given a number N with n digits, its (r-1) s complement is (r n -1) - N Decimal (r = 10) 9 s complement Example: N = 3450 r n -1 = = = 6549 Binary (r = 2) 1 s complement Example: N = r n -1 = = = Change 0 1 & 1 0 컴퓨터구성 : Spring, 2013: No. 4-8

9 Complements r s Complement r s Complement Given a number N with n digits, its r s complement is (r n -N) (r n -N) = (r n - 1) - N + 1 = (r -1) s complement + 1 Decimal (r = 10) 10 s complement Example: N = 3450 r n = 10 4 = = = = 6550 Binary (r = 2) 2 s complement Example: N = r n = 2 7 = = = Leave all lower order 0 s before the first 1 and the first 1 unchanged and complement the remaining upper binary numbers 컴퓨터구성 : Spring, 2013: No. 4-9

10 Complements Notes N O T E S No differences even when radix point is present Complement of a complement results in the original Three ways to subtract depending on how negative numbers are represented (will be shown shortly) 컴퓨터구성 : Spring, 2013: No. 4-10

11 Complements Subtraction of Unsigned Numbers What if numbers are represented as positive numbers? Subtraction of 2 n-digit Unsigned Numbers: M - N (N 0) Find r s complement of N & add to M M + (r n - N) = M - N + r n If M N ( M - N 0 ), sum will produce an end carry r n & discard this (M - N + r n - r n ) = M - N This is the answer If M N ( M - N 0 ), sum will not produce an end carry r n M + (r n -N) = { r n -(N-M)} = r s complement of (N - M) For answer, take r s complement and regard as negative 컴퓨터구성 : Spring, 2013: No. 4-11

12 Complements Subtraction of Unsigned Numbers Ex 1.1: = Ex 1.2: = M = M = s complement of N = s complement of N = Sum = Sum = Discard 10 5 = s complement of Sum = Answer = Answer = Ex 2.1: = Ex 2.2: = X = Y = s complement of Y = s complement of X = Sum = Sum = Discard 2 8 = s complement of Sum = Answer = Answer = 컴퓨터구성 : Spring, 2013: No. 4-12

13 Fixed-Point Representation Introduction Negative Values Sign Bit at the leftmost bit position 0 for positive & 1 for negative Binary Point Fixed Point At extreme left or right of the stored number in a register We assume the latter the number is integer Floating Point Will be discussed in the next section Most of computer systems use floating-point for real numbers 컴퓨터구성 : Spring, 2013: No. 4-13

14 Fixed-Point Representation Integer Representation Positive Integer: Sign bit and magnitude: Negative Integer: 14 = Signed-magnitude: -14 = Used in ordinary arithmetic; but awkward in computers Signed-1 s complement: -14 = Difficult since it has two zeros (+0 (0000) & -0 (1111)) Used only in old computers for numerical arithmetic Useful in logic operations (complementation) Signed-2 s complement: -14 = Primarily used in most computers as well as in my lecture 컴퓨터구성 : Spring, 2013: No. 4-14

15 Data Representation Summary n- bit Numbers Unsigned Numbers = Unsigned Magnitude Signed Magnitude Signed 1 s complement Signed 2 s complement Max Min Zero 2 n (2 n-1-1) -(2 n-1-1) + 0, , (2 n-1-1) -(2 n-1-1) + 0, , (2 n-1-1) -2 n Complement 컴퓨터구성 : Spring, 2013: No. 4-15

16 Fixed-Point Representation Arithmetic Addition Signed-Magnitude: Same Signs Add two magnitudes and put the sign bit Different Signs Subtract smaller magnitude from larger one Put the sign of data with larger magnitude Need comparison, addition, subtraction Q: Think about the rule for adding two numbers in signed- 1 s complement format Signed-2 s Complement: Rule Add two numbers including sign bits Discard carry out of sign bit, if any Need addition, only Negative result is in 2 s complement form 컴퓨터구성 : Spring, 2013: No. 4-16

17 Fixed-Point Representation Arithmetic Subtraction Signed-Magnitude: Rule Change the sign of subtrahend Perform Signed-Magnitude Addition Signed-2 s Complement: Rule Take 2 s complement of subtrahend Add it to minuend Discard carry out of sign bit, if any Need addition, only Negative result is in 2 s complement form Q: Think about the rule for adding two numbers in signed- 1 s complement format 컴퓨터구성 : Spring, 2013: No. 4-17

18 Fixed-Point Representation Overflow When two numbers of n digits with the same sign are added & the sum occupies n+1 digits, we say that an overflow occurred Overflow Flip-Flop: A flip-flop to store the (n+1) th bit of the result When is overflow? Q: Think about the case two numbers in signed-1 s complement format Addition of 2 Unsigned Numbers End carry out of MSB Addition of 2 Signed-2 s Complement Numbers End carry out of sign bit does not imply the overflow End carry out of sign bit and the carry into sign bit are different Carries: 0 1 Carries: 컴퓨터구성 : Spring, 2013: No. 4-18

19 Fixed-Point Representation Decimal Representation Disadvantages: Wasting storage space Numeric computations & their circuits are complicated ( ) BCD ( ) BCD ( ) BCD Advantages: No need to convert between decimals & binaries Largely used in data processing where computations are rare For BCD adder see Fig. in your textbook 컴퓨터구성 : Spring, 2013: No. 4-19

20 Floating-Point Representation Composition: Mantissa (m) Signed fixed-point number Exponent (e) Position of binary point Interpretation m 2 e Normalization: MSB of the mantissa is nonzero Example (in Textbook): Fraction Exponent m x 2 e = +( ) 2 x 2 +4 컴퓨터구성 : Spring, 2013: No. 4-20

21 Floating-Point Representation IEEE 754 Standard Example (in Real World): Sign bit Exponent (e) 8 bits in Excess-127 Mantissa (m) 23 bits Interpretation N = (-1) s 2 e-127 (1.m) Exponent Mantissa 8 bits 23 bits Sign bit 64-bit Extention Sign bit Exponent (e) 11 bits coded into Excess-1023 Mantissa (m) 52 bits Interpretation N = (-1) s 2 e-1023 (1.m) 컴퓨터구성 : Spring, 2013: No. 4-21

22 Error Detection Codes Binary information transmitted thru communication medium is subject to external noise. Parity Bit: Error Detection Code An extra bit to make number of 1 s in a message either even or odd Even Parity: P(even) What if the message contains all zeros? Odd Parity : P(odd) So, this is generally used. Source x y z Destination x y z Error Indication Parity Generator Parity Checker 컴퓨터구성 : Spring, 2013: No. 4-22

23 Homework Exercise: 3-7, 3-8, 3-15, 3-16, 3-17, 3-19, 3-26 Hamming Code: Have you ever heard about the Hamming Code? I don t think so Find a book that describes the Hamming Code and make 1 or 2 page hand-written summary as a homework. Some of you will be chosen to present the idea during the class. 컴퓨터구성 : Spring, 2013: No. 4-23

step 1-1

step 1-1 Written by Dr. In Ku Kim-Marshall STEP BY STEP Korean 1 through 15 Action Verbs Table of Contents Unit 1 The Korean Alphabet, hangeul Unit 2 Korean Sentences with 15 Action Verbs Introduction Review Exercises

More information

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh Page 1 of 6 Learn Korean Ep. 13: Whether (or not) and If Let s go over how to say Whether and If. An example in English would be I don t know whether he ll be there, or I don t know if he ll be there.

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

Stage 2 First Phonics

Stage 2 First Phonics ORT Stage 2 First Phonics The Big Egg What could the big egg be? What are the characters doing? What do you think the story will be about? (큰 달걀은 무엇일까요? 등장인물들은 지금 무엇을 하고 있는 걸까요? 책은 어떤 내용일 것 같나요?) 대해 칭찬해

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

Page 2 of 5 아니다 means to not be, and is therefore the opposite of 이다. While English simply turns words like to be or to exist negative by adding not,

Page 2 of 5 아니다 means to not be, and is therefore the opposite of 이다. While English simply turns words like to be or to exist negative by adding not, Page 1 of 5 Learn Korean Ep. 4: To be and To exist Of course to be and to exist are different verbs, but they re often confused by beginning students when learning Korean. In English we sometimes use the

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

public key private key Encryption Algorithm Decryption Algorithm 1

public key private key Encryption Algorithm Decryption Algorithm 1 public key private key Encryption Algorithm Decryption Algorithm 1 One-Way Function ( ) A function which is easy to compute in one direction, but difficult to invert - given x, y = f(x) is easy - given

More information

IKC43_06.hwp

IKC43_06.hwp 2), * 2004 BK21. ** 156,..,. 1) (1909) 57, (1915) 106, ( ) (1931) 213. 1983 2), 1996. 3). 4) 1),. (,,, 1983, 7 12 ). 2),. 3),, 33,, 1999, 185 224. 4), (,, 187 188 ). 157 5) ( ) 59 2 3., 1990. 6) 7),.,.

More information

- 2 -

- 2 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29 - - 30 -

More information

Microsoft PowerPoint - CHAP-01 [호환 모드]

Microsoft PowerPoint - CHAP-01 [호환 모드] 컴퓨터구성 Lecture #2 Chapter : Digital Logic Circuits Spring, 203 컴퓨터구성 : Spring, 203: No. - Digital Computer Definition Digital vs. nalog Digital computer is a digital system that performs various computational

More information

#Ȳ¿ë¼®

#Ȳ¿ë¼® http://www.kbc.go.kr/ A B yk u δ = 2u k 1 = yk u = 0. 659 2nu k = 1 k k 1 n yk k Abstract Web Repertoire and Concentration Rate : Analysing Web Traffic Data Yong - Suk Hwang (Research

More information

4 5 4. Hi-MO 애프터케어 시스템 편 5. 오비맥주 카스 카스 후레쉬 테이블 맥주는 천연식품이다 편 처음 스타일 그대로, 부탁 케어~ Hi-MO 애프터케어 시스템 지속적인 모발 관리로 끝까지 스타일이 유지되도록 독보적이다! 근데 그거 아세요? 맥주도 인공첨가물이

4 5 4. Hi-MO 애프터케어 시스템 편 5. 오비맥주 카스 카스 후레쉬 테이블 맥주는 천연식품이다 편 처음 스타일 그대로, 부탁 케어~ Hi-MO 애프터케어 시스템 지속적인 모발 관리로 끝까지 스타일이 유지되도록 독보적이다! 근데 그거 아세요? 맥주도 인공첨가물이 1 2 On-air 3 1. 이베이코리아 G마켓 용평리조트 슈퍼브랜드딜 편 2. 아모레퍼시픽 헤라 루즈 홀릭 리퀴드 편 인쇄 광고 올해도 겨울이 왔어요. 당신에게 꼭 해주고 싶은 말이 있어요. G마켓에선 용평리조트 스페셜 패키지가 2만 6900원! 역시 G마켓이죠? G마켓과 함께하는 용평리조트 스페셜 패키지. G마켓의 슈퍼브랜드딜은 계속된다. 모바일 쇼핑 히어로

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

¹Ìµå¹Ì3Â÷Àμâ

¹Ìµå¹Ì3Â÷Àμâ MIDME LOGISTICS Trusted Solutions for 02 CEO MESSAGE MIDME LOGISTICS CO., LTD. 01 Ceo Message We, MIDME LOGISTICS CO., LTD. has established to create aduance logistics service. Try to give confidence to

More information

http://www.kbc.go.kr/pds/2.html Abstract Exploring the Relationship Between the Traditional Media Use and the Internet Use Mee-Eun Kang This study examines the relationship between

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Microsoft PowerPoint - 7-Work and Energy.ppt

Microsoft PowerPoint - 7-Work and Energy.ppt Chapter 7. Work and Energy 일과운동에너지 One of the most important concepts in physics Alternative approach to mechanics Many applications beyond mechanics Thermodynamics (movement of heat) Quantum mechanics...

More information

<B3EDB9AEC1FD5F3235C1FD2E687770>

<B3EDB9AEC1FD5F3235C1FD2E687770> 경상북도 자연태음악의 소박집합, 장단유형, 전단후장 경상북도 자연태음악의 소박집합, 장단유형, 전단후장 - 전통 동요 및 부녀요를 중심으로 - 이 보 형 1) * 한국의 자연태 음악 특성 가운데 보편적인 특성은 대충 밝혀졌지만 소박집합에 의한 장단주기 박자유형, 장단유형, 같은 층위 전후 구성성분의 시가( 時 價 )형태 등 은 밝혀지지 않았으므로

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

슬라이드 제목 없음

슬라이드 제목 없음 물리화학 1 문제풀이 130403 김대형교수님 Chapter 1 Exercise (#1) A sample of 255 mg of neon occupies 3.00 dm 3 at 122K. Use the perfect gas law to calculate the pressure of the gas. Solution 1) The perfect gas law p

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

11¹Ú´ö±Ô

11¹Ú´ö±Ô A Review on Promotion of Storytelling Local Cultures - 265 - 2-266 - 3-267 - 4-268 - 5-269 - 6 7-270 - 7-271 - 8-272 - 9-273 - 10-274 - 11-275 - 12-276 - 13-277 - 14-278 - 15-279 - 16 7-280 - 17-281 -

More information

서강대학원123호

서강대학원123호 123 2012년 12월 6일 발행인 이종욱 총장 편집인 겸 주간 임종섭 편집장 김아영 (우편번호 121-742) 주소 서울시 마포구 신수동1번지 엠마오관 B133호 대학원신문사 전화 705-8269 팩스 713-1919 제작 일탈기획(070-4404-8447) 웃자고 사는 세상, 정색은 언행 총량의 2%면 족하다는 신념으로 살았습니다. 그 신념 덕분인지 다행히

More information

<B1E2C8B9BEC828BFCFBCBAC1F7C0FC29322E687770>

<B1E2C8B9BEC828BFCFBCBAC1F7C0FC29322E687770> 맛있는 한국으로의 초대 - 중화권 음식에서 한국 음식의 관광 상품화 모색하기 - 소속학교 : 한국외국어대학교 지도교수 : 오승렬 교수님 ( 중국어과) 팀 이 름 : 飮 食 男 女 ( 음식남녀) 팀 원 : 이승덕 ( 중국어과 4) 정진우 ( 중국어과 4) 조정훈 ( 중국어과 4) 이민정 ( 중국어과 3) 탐방목적 1. 한국 음식이 가지고 있는 장점과 경제적 가치에도

More information

10송동수.hwp

10송동수.hwp 종량제봉투의 불법유통 방지를 위한 폐기물관리법과 조례의 개선방안* 1) 송 동 수** 차 례 Ⅰ. 머리말 Ⅱ. 종량제봉투의 개요 Ⅲ. 종량제봉투의 불법유통사례 및 방지대책 Ⅳ. 폐기물관리법의 개선방안 Ⅴ. 지방자치단체 조례의 개선방안 Ⅵ. 결론 국문초록 1995년부터 쓰레기 종량제가 시행되면서 각 지방자치단체별로 쓰레기 종량제 봉투가 제작, 판매되기 시작하였는데,

More information

0125_ 워크샵 발표자료_완성.key

0125_ 워크샵 발표자료_완성.key WordPress is a free and open-source content management system (CMS) based on PHP and MySQL. WordPress is installed on a web server, which either is part of an Internet hosting service or is a network host

More information

슬라이드 제목 없음

슬라이드 제목 없음 2006-09-27 경북대학교컴퓨터공학과 1 제 5 장서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 슈퍼넷팅 (Supernetting) 2006-09-27 경북대학교컴퓨터공학과 2 서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 하나의네트워크를여러개의서브넷 (subnet) 으로분할 슈퍼넷팅 (supernetting) 여러개의서브넷주소를결합 The idea

More information

Microsoft PowerPoint - Freebairn, John_ppt

Microsoft PowerPoint - Freebairn, John_ppt Tax Mix Change John Freebairn Outline General idea of a tax mix change Some detailed policy options Importance of casting assessment in the context of a small open economy Economic effects of a tax mix

More information

영남학17합본.hwp

영남학17합본.hwp 英 祖 代 戊 申 亂 이후 慶 尙 監 司 의 收 拾 策 李 根 浩 * 105) Ⅰ. 머리말 Ⅱ. 戊 申 亂 과 憂 嶺 南 說 Ⅲ. 以 嶺 南 治 嶺 南, 독자성에 토대한 통치 원칙 제시 Ⅳ. 鄒 魯 之 鄕 복원을 위한 교학 기구의 정비 Ⅴ. 상징물 및 기록의 정비 Ⅵ. 맺음말 국문초록 이 글은 영조대 무신란 이후 경상감사들이 행했던 제반 수습책을 검토 한 글이다.

More information

Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp DOI: NCS : * A Study on

Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp DOI:   NCS : * A Study on Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp.157-176 DOI: http://dx.doi.org/10.21024/pnuedi.28.3.201809.157 NCS : * A Study on the NCS Learning Module Problem Analysis and Effective

More information

歯1.PDF

歯1.PDF 200176 .,.,.,. 5... 1/2. /. / 2. . 293.33 (54.32%), 65.54(12.13%), / 53.80(9.96%), 25.60(4.74%), 5.22(0.97%). / 3 S (1997)14.59% (1971) 10%, (1977).5%~11.5%, (1986)

More information

PL10

PL10 assert(p!=null); *p = 10; assert(0

More information

하나님의 선한 손의 도우심 이세상에서 가장 큰 축복은 하나님이 나와 함께 하시는 것입니다. 그 이 유는 하나님이 모든 축복의 근원이시기 때문입니다. 에스라서에 보면 하나님의 선한 손의 도우심이 함께 했던 사람의 이야기 가 나와 있는데 에스라 7장은 거듭해서 그 비결을

하나님의 선한 손의 도우심 이세상에서 가장 큰 축복은 하나님이 나와 함께 하시는 것입니다. 그 이 유는 하나님이 모든 축복의 근원이시기 때문입니다. 에스라서에 보면 하나님의 선한 손의 도우심이 함께 했던 사람의 이야기 가 나와 있는데 에스라 7장은 거듭해서 그 비결을 새벽이슬 2 0 1 3 a u g u s t 내가 이스라엘에게 이슬과 같으리니 그가 백합화같이 피 겠고 레바논 백향목같이 뿌리가 박힐것이라. Vol 5 Number 3 호세아 14:5 하나님의 선한 손의 도우심 이세상에서 가장 큰 축복은 하나님이 나와 함께 하시는 것입니다. 그 이 유는 하나님이 모든 축복의 근원이시기 때문입니다. 에스라서에 보면 하나님의 선한

More information

<32B1B3BDC32E687770>

<32B1B3BDC32E687770> 008년도 상반기 제회 한 국 어 능 력 시 험 The th Test of Proficiency in Korean 일반 한국어(S-TOPIK 중급(Intermediate A 교시 이해 ( 듣기, 읽기 수험번호(Registration No. 이 름 (Name 한국어(Korean 영 어(English 유 의 사 항 Information. 시험 시작 지시가 있을

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

현대영화연구

현대영화연구 와 에 나타난 섬과 소통의 의미 * 1) 곽수경 국립목포대학교 도서문화연구원 HK연구교수 1. 영화와 섬 2. 물리적인 섬과 상징된 섬 3. 소통수단 4. 결론 목 차 국문초록 최근에는 섬이 해양영토로 인식되고 다양한 방송프로그램을 통해 자주 소 개되면서 다각도로 섬에 대한 관심이 높아지고 있다. 하지만 그에 비해 섬을 배경으로 한 영화는

More information

I&IRC5 TG_08권

I&IRC5 TG_08권 I N T E R E S T I N G A N D I N F O R M A T I V E R E A D I N G C L U B The Greatest Physicist of Our Time Written by Denny Sargent Michael Wyatt I&I Reading Club 103 본문 해석 설명하기 위해 근래의 어떤 과학자보다도 더 많은 노력을

More information

歯M991101.PDF

歯M991101.PDF 2 0 0 0 2000 12 2 0 0 0 2000 12 ( ) ( ) ( ) < >. 1 1. 1 2. 5. 6 1. 7 1.1. 7 1.2. 9 1.3. 10 2. 17 3. 25 3.1. 25 3.2. 29 3.3. 29. 31 1. 31 1.1. ( ) 32 1.2. ( ) 38 1.3. ( ) 40 1.4. ( ) 42 2. 43 3. 69 4. 74.

More information

Output file

Output file 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 An Application for Calculation and Visualization of Narrative Relevance of Films Using Keyword Tags Choi Jin-Won (KAIST) Film making

More information

<31342D3034C0E5C7FDBFB52E687770>

<31342D3034C0E5C7FDBFB52E687770> 아카데미 토론 평가에 대한 재고찰 - 토론승패와 설득은 일치하는가 - 장혜영 (명지대) 1. 들어가는 말 토론이란 무엇일까? 토론에 대한 정의는 매우 다양하다. 안재현 과 오창훈은 토론에 대한 여러 정의들을 검토한 후 이들을 종합하 여 다음과 같이 설명하고 있다. 토론이란 주어진 주제에 대해 형 식과 절차에 따라 각자 자신의 의견을 합리적으로 주장하여 상대

More information

Microsoft PowerPoint - PL_03-04.pptx

Microsoft PowerPoint - PL_03-04.pptx Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 프로그래밍 언어 소개 2 언어의 변천 3 프로그래밍 언어 설계 4 프로그래밍 언어의 구문과 구현 기법 5 6 7 컴파일러 개요 변수, 바인딩, 식 및 제어문 자료형 8

More information

2 min 응용 말하기 01 I set my alarm for 7. 02 It goes off. 03 It doesn t go off. 04 I sleep in. 05 I make my bed. 06 I brush my teeth. 07 I take a shower.

2 min 응용 말하기 01 I set my alarm for 7. 02 It goes off. 03 It doesn t go off. 04 I sleep in. 05 I make my bed. 06 I brush my teeth. 07 I take a shower. 스피킹 매트릭스 특별 체험판 정답 및 스크립트 30초 영어 말하기 INPUT DAY 01 p.10~12 3 min 집중 훈련 01 I * wake up * at 7. 02 I * eat * an apple. 03 I * go * to school. 04 I * put on * my shoes. 05 I * wash * my hands. 06 I * leave

More information

<BFACBCBCC0C7BBE7C7D02831302031203139292E687770>

<BFACBCBCC0C7BBE7C7D02831302031203139292E687770> 延 世 醫 史 學 제12권 제2호: 29-40, 2009년 12월 Yonsei J Med Hist 12(2): 29-40, 2009 특집논문 3 한국사회의 낙태에 대한 인식변화 이 현 숙 이화여대 한국문화연구원 1. 들어가며 1998년 내가 나이 마흔에 예기치 않은 임신을 하게 되었을 때, 내 주변 사람들은 모두 들 너무나도 쉽게 나에게 임신중절을 권하였다.

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,... (recall). 2) 1) 양웅, 김충현, 김태원, 광고표현 수사법에 따른 이해와 선호 효과: 브랜드 인지도와 의미고정의 영향을 중심으로, 광고학연구 18권 2호, 2007 여름

300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,... (recall). 2) 1) 양웅, 김충현, 김태원, 광고표현 수사법에 따른 이해와 선호 효과: 브랜드 인지도와 의미고정의 영향을 중심으로, 광고학연구 18권 2호, 2007 여름 동화 텍스트를 활용한 패러디 광고 스토리텔링 연구 55) 주 지 영* 차례 1. 서론 2. 인물의 성격 변화에 의한 의미화 전략 3. 시공간 변화에 의한 의미화 전략 4. 서사의 변개에 의한 의미화 전략 5. 창조적인 스토리텔링을 위하여 6. 결론 1. 서론...., * 서울여자대학교 초빙강의교수 300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,...

More information

<313430333033C6AFC1FD28C3E0B1B8292E687770>

<313430333033C6AFC1FD28C3E0B1B8292E687770> 스포츠와 물리학: 구기운동 안티-싸커 와 간접-축구 DOI: 10.3938/PhiT.23.005 이 인 호 Anti-soccer and Indirect Soccer 편성은 없다고 장담한다. 벨기에(FIFA 랭킹 11위), 러시아 (FIFA 랭킹 22위), 알제리(FIFA 랭킹 26위), 그리고 한국(FIFA 랭킹 61위)으로 이어지는 H조 편성 결과이다. 이

More information

` Companies need to play various roles as the network of supply chain gradually expands. Companies are required to form a supply chain with outsourcing or partnerships since a company can not

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

레이아웃 1

레이아웃 1 i g d e d mod, t d e d e d mod, t e,0 e, n s,0 e,n e,0 Division of Workers' Compensation (2009). Iowa workers' compensation manual. Gamber, E. N. & Sorensen, R. L. (1994). Are net discount rates stationary?:

More information

농심-내지

농심-내지 Magazine of NONGSHIM 2012_ 03 농심이 필요할 때 Magazine of NONGSHIM 농심그룹 사보 농심 통권 제347호 발행일 2012년 3월 7일 월간 발행인 박준 편집인 유종석 발행처 (주)농심 02-820-7114 서울특별시 동작구 신대방동 370-1 홈페이지 www.nongshim.com 블로그 blog.nongshim.com

More information

<30322D28C6AF29C0CCB1E2B4EB35362D312E687770>

<30322D28C6AF29C0CCB1E2B4EB35362D312E687770> 한국학연구 56(2016.3.30), pp.33-63. 고려대학교 한국학연구소 세종시의 지역 정체성과 세종의 인문정신 * 1)이기대 ** 국문초록 세종시의 상황은 세종이 왕이 되면서 겪어야 했던 과정과 닮아 있다. 왕이 되리라 예상할 수 없었던 상황에서 세종은 왕이 되었고 어려움을 극복해 갔다. 세종시도 갑작스럽게 행정도시로 계획되었고 준비의 시간 또한 짧았지만,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

<B9AEC8ADC4DCC5D9C3F7BFACB1B82D35C8A32833B1B3292E687770>

<B9AEC8ADC4DCC5D9C3F7BFACB1B82D35C8A32833B1B3292E687770> 독서문화 생태계 조성의 주요 거점으로서, 지역 서점 활성화 방안 연구 - 국내 국외 성공 사례에 기초하여 오선경 * 국문초록 매체 환경이 디지털로 전환해가면서 종이책 독서인구도 감소하고 있다. 더불 어 오픈 마켓이나 대형 서점, 온라인 서점 등의 공격적 마케팅은 보다 편리하고, 보다 빨리, 보다 싸게 라는 책 소비 패턴에 변화를 가져왔다. 이는 곧 규모나 자본

More information

아니라 일본 지리지, 수로지 5, 지도 6 등을 함께 검토해야 하지만 여기서는 근대기 일본이 편찬한 조선 지리지와 부속지도만으로 연구대상을 한정하 기로 한다. Ⅱ. 1876~1905년 울릉도 독도 서술의 추이 1. 울릉도 독도 호칭의 혼란과 지도상의 불일치 일본이 조선

아니라 일본 지리지, 수로지 5, 지도 6 등을 함께 검토해야 하지만 여기서는 근대기 일본이 편찬한 조선 지리지와 부속지도만으로 연구대상을 한정하 기로 한다. Ⅱ. 1876~1905년 울릉도 독도 서술의 추이 1. 울릉도 독도 호칭의 혼란과 지도상의 불일치 일본이 조선 근대기 조선 지리지에 보이는 일본의 울릉도 독도 인식 호칭의 혼란을 중심으로 Ⅰ. 머리말 이 글은 근대기 일본인 편찬 조선 지리지에 나타난 울릉도 독도 관련 인식을 호칭의 변화에 초점을 맞춰 고찰한 것이다. 일본은 메이지유신 이후 부국강병을 기도하는 과정에서 수집된 정보에 의존하여 지리지를 펴냈고, 이를 제국주의 확장에 원용하였다. 특히 일본이 제국주의 확장을

More information

6자료집최종(6.8))

6자료집최종(6.8)) Chapter 1 05 Chapter 2 51 Chapter 3 99 Chapter 4 151 Chapter 1 Chapter 6 7 Chapter 8 9 Chapter 10 11 Chapter 12 13 Chapter 14 15 Chapter 16 17 Chapter 18 Chapter 19 Chapter 20 21 Chapter 22 23 Chapter

More information

<B7CEC4C3B8AEC6BCC0CEB9AEC7D0322832303039B3E23130BFF9292E687770>

<B7CEC4C3B8AEC6BCC0CEB9AEC7D0322832303039B3E23130BFF9292E687770> 로컬리티 인문학 2, 2009. 10, 257~285쪽 좌절된 세계화와 로컬리티 - 1960년대 한국영화와 재외한인 양 인 실* 50) 국문초록 세계화 로컬리티는 특정장소나 경계를 지칭하는 것이 아니라 관계와 시대에 따 라 유동적으로 변화하는 개념이다. 1960년대 한국영화는 유례없는 변화를 맞이하고 있었다. 그 중 가장 특이할 만한 사실은 미국과 일본의 영화계에서

More information

#KM-235(110222)

#KM-235(110222) PARTS BOOK KM-235A/B INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part Parts No. : Unique Product

More information

_KF_Bulletin webcopy

_KF_Bulletin webcopy 1/6 1/13 1/20 1/27 -, /,, /,, /, Pursuing Truth Responding in Worship Marked by Love Living the Gospel 20 20 Bible In A Year: Creation & God s Characters : Genesis 1:1-31 Pastor Ken Wytsma [ ] Discussion

More information

<30352DC0CCC7F6C8F1343628B1B3292DBFACB1B8BCD2B1B3C1A42E687770>

<30352DC0CCC7F6C8F1343628B1B3292DBFACB1B8BCD2B1B3C1A42E687770> 한국학연구 46(2013.9.30), pp.125-165 고려대학교 한국학연구소 어휘 차원에서의 강조 실현 방식과 그 특징 1)이현희 * 국문초록 이 논문에서는 사전 뜻풀이에 강조 를 포함하는 표제어들을 중심으로 어휘 차원에서 나타나는 강조 표현의 유형과 기능, 특징 등을 살펴보았 다. 을 기준으로 뜻풀이에 강조 를 포함하는 표제어는 200여

More information

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS [Caution] Attention to red sentence 3-1. Disassembly and Reassembly R520/ 1 2 1 1. As shown in picture, adhere Knob to the end closely into the arrow direction(1), then push the battery up (2). 2. Picture

More information

사용시 기본적인 주의사항 경고 : 전기 기구를 사용할 때는 다음의 기본적인 주의 사항을 반드시 유의하여야 합니다..제품을 사용하기 전에 반드시 사용법을 정독하십시오. 2.물과 가까운 곳, 욕실이나 부엌 그리고 수영장 같은 곳에서 제품을 사용하지 마십시오. 3.이 제품은

사용시 기본적인 주의사항 경고 : 전기 기구를 사용할 때는 다음의 기본적인 주의 사항을 반드시 유의하여야 합니다..제품을 사용하기 전에 반드시 사용법을 정독하십시오. 2.물과 가까운 곳, 욕실이나 부엌 그리고 수영장 같은 곳에서 제품을 사용하지 마십시오. 3.이 제품은 OPERATING INSTRUCTIONS OPERATING INSTRUCTIONS 사용자설명서 TourBus 0 & TourBus 5 사용시 기본적인 주의사항 경고 : 전기 기구를 사용할 때는 다음의 기본적인 주의 사항을 반드시 유의하여야 합니다..제품을 사용하기 전에 반드시 사용법을 정독하십시오. 2.물과 가까운 곳, 욕실이나 부엌 그리고 수영장 같은 곳에서

More information

도비라

도비라 광고학연구 : 제24권 5호(2013년) The Korean Journal of Advertising, Vol.24, No.5 (2013). pp.99 116 대학생 광고공모전이 광고업계 취업에 미치는 영향: 대학생과 실무자의 인식 비교를 중심으로 차 유 철 우석대학교 광고이벤트학과 교수, 언론학박사 이 희 복 상지대학교 언론광고학부 교수, 언론학박사* 신

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

6 영상기술연구 실감하지 못했을지도 모른다. 하지만 그 이외의 지역에서 3D 영화를 관람하기란 그리 쉬운 일이 아니다. 영화 <아바타> 이후, 티켓 파워에 민감한 국내 대형 극장 체인들이 2D 상영관을 3D 상영관으로 점차적으로 교체하는 추세이긴 하지만, 아직까지는 관

6 영상기술연구 실감하지 못했을지도 모른다. 하지만 그 이외의 지역에서 3D 영화를 관람하기란 그리 쉬운 일이 아니다. 영화 <아바타> 이후, 티켓 파워에 민감한 국내 대형 극장 체인들이 2D 상영관을 3D 상영관으로 점차적으로 교체하는 추세이긴 하지만, 아직까지는 관 아바타를 중심으로 본 3D 영화산업의 기술동향과 발전방향에 관한 연구 5 연구논문 연구논문 아바타를 중심으로 본 3D 영화산업의 기술동향과 발전방향에 관한 연구 진 승 현 동명대학교 미디어영상전공 교수 I. 서론 얼마 전 제 15회 신지식인 인증 및 시상식에서 대한민국의 대표 신지식인 대상을 영화 의 주경중 감독이 수상했다. 대한민국 3D 영화 제작의

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

(Exposure) Exposure (Exposure Assesment) EMF Unknown to mechanism Health Effect (Effect) Unknown to mechanism Behavior pattern (Micro- Environment) Re

(Exposure) Exposure (Exposure Assesment) EMF Unknown to mechanism Health Effect (Effect) Unknown to mechanism Behavior pattern (Micro- Environment) Re EMF Health Effect 2003 10 20 21-29 2-10 - - ( ) area spot measurement - - 1 (Exposure) Exposure (Exposure Assesment) EMF Unknown to mechanism Health Effect (Effect) Unknown to mechanism Behavior pattern

More information

歯kjmh2004v13n1.PDF

歯kjmh2004v13n1.PDF 13 1 ( 24 ) 2004 6 Korean J Med Hist 13 1 19 Jun 2004 ISSN 1225 505X 1) * * 1 ( ) 2) 3) 4) * 1) ( ) 3 2) 7 1 3) 2 1 13 1 ( 24 ) 2004 6 5) ( ) ( ) 2 1 ( ) 2 3 2 4) ( ) 6 7 5) - 2003 23 144-166 2 2 1) 6)

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI: (LiD) - - * Way to

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI:   (LiD) - - * Way to Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp.353-376 DOI: http://dx.doi.org/10.21024/pnuedi.29.1.201903.353 (LiD) -- * Way to Integrate Curriculum-Lesson-Evaluation using Learning-in-Depth

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 개화기 다언어(多言語) 학습서와 근대 한일 양국어* 李康民 ** i kangmin@hanmail.net The purpose of this study is to conduct a survey to analyze the 13 types of multi language textbooks published in Japan during the time

More information

Microsoft PowerPoint - 06-IPAddress [호환 모드]

Microsoft PowerPoint - 06-IPAddress [호환 모드] Chapter 06 IP Address IP Address Internet address IP 계층에서사용되는식별자 32 bit 2 진주소 The address space of IPv4 is 2 32 or 4,294,967,296 netid 와 hostid 로구분 인터넷에서호스트와라우터를유일하게구분 IP Address Structure 2-Layer Hierarchical

More information

#중등독해1-1단원(8~35)학

#중등독해1-1단원(8~35)학 Life Unit 1 Unit 2 Unit 3 Unit 4 Food Pets Camping Travel Unit 1 Food Before You Read Pre-reading Questions 1. Do you know what you should or shouldn t do at a traditional Chinese dinner? 2. Do you think

More information

Breathing problems Pa t i e n t: I have been having some breathing problems lately. I always seem to be out of breath no matter what I am d o i n g. ( Nurse : How long have you been experiencing this problem?

More information

<C7D1B9CEC1B7BEEEB9AEC7D03631C1FD28C3D6C1BE292E687770>

<C7D1B9CEC1B7BEEEB9AEC7D03631C1FD28C3D6C1BE292E687770> 설화에 나타난 사회구조와 그 의미 23) 박유미 * 차례 Ⅰ. 문제제기 Ⅱ. 서사 내부의 사회구조 Ⅲ. 사회문제의 해결방식과 그 의미 Ⅳ. 설화와 후대전승과의 상관관계 Ⅴ. 결론 국문초록 삼국유사 의 조에는 왕거인 이야기와 거타지 이야기가 하나의 설화에 묶여 전하고 있는데, 두 이야기는 해결구조에서 차이를

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Mary Beth Tatham Norbert Sternat 1:00 PM Al Weyer 4:00 PM Christine Buerger MASS PARTICIPATION: Families are encouraged to participate during the break as well. Altar Servers are needed! Please contact

More information

2011´ëÇпø2µµ 24p_0628

2011´ëÇпø2µµ 24p_0628 2011 Guide for U.S. Graduate School Admissions Table of Contents 02 03 04 05 06 08 09 10 11 13 15 21 LEADERS UHAK INTERNATIONAL STUDENTS SERVICE www.leadersuhak.com Leaders Uhak International Students

More information

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI: * Suggestions of Ways

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI:   * Suggestions of Ways Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp.65-89 DOI: http://dx.doi.org/10.21024/pnuedi.29.1.201903.65 * Suggestions of Ways to Improve Teaching Practicum Based on the Experiences

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

<C3D6C1BEBFCFB7E12D30372E3032C0DBBEF72DB1B9BEC7BFF820B3EDB9AEC1FD20C1A63139C1FD28C0FCC3BC292E687770>

<C3D6C1BEBFCFB7E12D30372E3032C0DBBEF72DB1B9BEC7BFF820B3EDB9AEC1FD20C1A63139C1FD28C0FCC3BC292E687770> 한국음악의 세계화 전략 한국음악의 세계화 전략 - 일본의 경우 - 야마모토 하나코( 山 本 華 子 ) 1) 국문초록 일본에서는 1965년 한일국교 정상화 이후, 여러 가지 형태로 한국음악이 소개되 어 왔다. 더욱이 국교정상화 40주년을 맞이한 2005년은 한 일 우정의 해 2005 로 지정되어 전통음악 세계에서는 민간차원의 공연이 증가하면서 한일 교류연주회

More information

본문01

본문01 Ⅱ 논술 지도의 방법과 실제 2. 읽기에서 논술까지 의 개발 배경 읽기에서 논술까지 자료집 개발의 본래 목적은 초 중 고교 학교 평가에서 서술형 평가 비중이 2005 학년도 30%, 2006학년도 40%, 2007학년도 50%로 확대 되고, 2008학년도부터 대학 입시에서 논술 비중이 커지면서 논술 교육은 학교가 책임진다. 는 풍토 조성으로 공교육의 신뢰성과

More information

대한한의학원전학회지26권4호-교정본(1125).hwp

대한한의학원전학회지26권4호-교정본(1125).hwp http://www.wonjeon.org http://dx.doi.org/10.14369/skmc.2013.26.4.267 熱入血室證에 대한 小考 1 2 慶熙大學校大學校 韓醫學科大學 原典學敎室 韓醫學古典硏究所 白裕相1, 2 *117) A Study on the Pattern of 'Heat Entering The Blood Chamber' 1, Baik 1

More information

슬라이드 1

슬라이드 1 CJ 2007 CONTENTS 2006 CJ IR Presentation Overview 4 Non-performing Asset Company Profile Vision & Mission 4 4 - & 4-4 - & 4 - - - - ROE / EPS - - DreamWorks Animation Net Asset Value (NAV) Disclaimer IR

More information

- iii - - i - - ii - - iii - 국문요약 종합병원남자간호사가지각하는조직공정성 사회정체성과 조직시민행동과의관계 - iv - - v - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - α α α α - 15 - α α α α α α

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

204 205

204 205 -Road Traffic Crime and Emergency Evacuation - 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 Abstract Road Traffic Crime

More information

<5B313132385D32303039B3E220C1A634B1C720C1A632C8A320B3EDB9AEC1F628C3D6C1BE292E687770>

<5B313132385D32303039B3E220C1A634B1C720C1A632C8A320B3EDB9AEC1F628C3D6C1BE292E687770> 디지털 영상에서의 자막추출을 이용한 자막 특성 분석에 관한 연구 이세열 * 요약 본 연구는 방송 프로그램 제작에 있어서 중요한 역할을 담당하고 있는 영상 자막의 특성과 영상 커 뮤니케이션 기능적인 관점에서 나타나고 있는 현상을 살펴본다. 다양한 방송 프로그램에서 활용되고 있는 디지털 영상 자막의 기능은 단순하게 간략한 정보를 전달하는 기능적인 역할을 수행하였다.

More information

untitled

untitled 구비전승의 지속과 변천 117 구비전승의 지속과 변천 < 南 白 月 二 聖 努 肹 夫 得 怛 怛 朴 朴 >의 사례를 중심으로 김 헌 선* 1. 머리말 2. 구비전승 의 성격규명 3. < 南 白 月 二 聖 努 肹 夫 得 呾 呾 朴 朴 >의 구비전승적 성격 4. 마무리 1. 머리말 구비전승은 문헌전승과 일정한 관련을 가지고 있다. 구비전승과

More information

#KM560

#KM560 KM-560 KM-560-7 PARTS BOOK KM-560 KM-560-7 INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part

More information

Chapter4.hwp

Chapter4.hwp Ch. 4. Spectral Density & Correlation 4.1 Energy Spectral Density 4.2 Power Spectral Density 4.3 Time-Averaged Noise Representation 4.4 Correlation Functions 4.5 Properties of Correlation Functions 4.6

More information

<BFA9BAD02DB0A1BBF3B1A4B0ED28C0CCBCF6B9FC2920B3BBC1F62E706466>

<BFA9BAD02DB0A1BBF3B1A4B0ED28C0CCBCF6B9FC2920B3BBC1F62E706466> 001 002 003 004 005 006 008 009 010 011 2010 013 I II III 014 IV V 2010 015 016 017 018 I. 019 020 021 022 023 024 025 026 027 028 029 030 031 032 033 034 035 036 037 038 039 040 III. 041 042 III. 043

More information

274 한국문화 73

274 한국문화 73 - 273 - 274 한국문화 73 17~18 세기통제영의방어체제와병력운영 275 276 한국문화 73 17~18 세기통제영의방어체제와병력운영 277 278 한국문화 73 17~18 세기통제영의방어체제와병력운영 279 280 한국문화 73 17~18 세기통제영의방어체제와병력운영 281 282 한국문화 73 17~18 세기통제영의방어체제와병력운영 283 284

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Microsoft PowerPoint - a6.ppt [호환 모드]

Microsoft PowerPoint - a6.ppt [호환 모드] 이장의내용 6 장조건부처리 부울과비교명령어 조건부점프 조건부루프명령어 조건부구조 컴퓨터정보통신 어셈블리언어 2 6.2 부울과비교명령어 부울명령어 Instructions ti 동작 AND dst, src OR dst, src XOR dst, src NOT dst dst dst AND src dst dst OR src dst dst XOR src dst NOT

More information

... 수시연구 국가물류비산정및추이분석 Korean Macroeconomic Logistics Costs in 권혁구ㆍ서상범...

... 수시연구 국가물류비산정및추이분석 Korean Macroeconomic Logistics Costs in 권혁구ㆍ서상범... ... 수시연구 2013-01.. 2010 국가물류비산정및추이분석 Korean Macroeconomic Logistics Costs in 2010... 권혁구ㆍ서상범... 서문 원장 김경철 목차 표목차 그림목차 xi 요약 xii xiii xiv xv xvi 1 제 1 장 서론 2 3 4 제 2 장 국가물류비산정방법 5 6 7 8 9 10 11 12 13

More information

,,,.,,,, (, 2013).,.,, (,, 2011). (, 2007;, 2008), (, 2005;,, 2007).,, (,, 2010;, 2010), (2012),,,.. (, 2011:,, 2012). (2007) 26%., (,,, 2011;, 2006;

,,,.,,,, (, 2013).,.,, (,, 2011). (, 2007;, 2008), (, 2005;,, 2007).,, (,, 2010;, 2010), (2012),,,.. (, 2011:,, 2012). (2007) 26%., (,,, 2011;, 2006; ,,.. 400,,,,,,.,,, -, -, -., 3.. :, Tel : 010-9540-0640, E-mail : sunney05@hanmail.net ,,,.,,,, (, 2013).,.,, (,, 2011). (, 2007;, 2008), (, 2005;,, 2007).,, (,, 2010;, 2010), (2012),,,.. (, 2011:,, 2012).

More information

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770>

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770> P.120 기 출 문 제 9904 9908 0010 0109 1. 정보의단위로가장적은것은? 가. Byte 나. Word 다. Bit 라. Record 0005 0103 0605 2. 4비트로나타낼수있는정보단위는? 가. Nibble 나. Character 다. Full-Word 라. Double-Word 0007 3. 다음정보의단위중하위의개념에서상위의개념으로올바르게나열된것은?

More information