Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Similar documents
Microsoft PowerPoint - VHDL08.ppt [호환 모드]

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

디지털공학 5판 7-8장

歯Chap1-Chap2.PDF

ARM01

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt

<4D F736F F F696E74202D C31345FB0EDB1DE20BFB5BBF320C8B8B7CE20BCB3B0E82E BC8A3C8AF20B8F0B5E55D>

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

ºÎ·ÏB

Libero Overview and Design Flow

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

제5장 PLD의 이해와 실습

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - 제12장.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드]

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 -

. 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되

User Guide

10. 메모리 목표 메모리의개요 Random Access Memory (RAM) Read Only Memory (ROM) 프로그램가능한 ROM (PROM) 비휘발성입 / 출력메모리 메모리패키지 대용량저장장치 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

<BBEABEF7B5BFC7E22DA5B12E687770>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

API 매뉴얼

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는

Integ

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

C# 언어 사양

Microsoft PowerPoint - o8.pptx

목차 1. 개요 USB 드라이버 설치 (FTDI DRIVER) FTDI DRIVER 실행파일 USB 드라이버 확인방법 DEVICE-PROGRAMMER 설치 DEVICE-PROGRAMMER

PowerPoint 프레젠테이션

Microsoft PowerPoint - VHDL06.ppt [호환 모드]

MAX+plus II Getting Started - 무작정따라하기

Microsoft Word - PLC제어응용-2차시.doc

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

T100MD+

Ä¡¿ì³»ÁöÃÖÁ¾

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

PowerPoint 프레젠테이션

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영


untitled

CAN-fly Quick Manual

메모리, 케이스, 파워서플라이 메모리의역할 Why Random Access Memory? 휘발성 vs. 비휘발성메모리 RAM의종류와규격 RAM 의규격보기와선택 케이스의종류 케이스의선택 파워서플라이의종류 파워서플라이의커넥터와메인보드연결부 파워서플라이의선택

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드]

<4D F736F F D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63>

C# Programming Guide - Types

ÀüÀÚ Ä¿¹ö-±¹¹®

ADP-2480

<C1A4B4E7C7D0C8B828B1B9C8B8C0D4B9FDC1B6BBE7C3B3295F3138B4EB20B4EBC5EBB7C9BCB1B0C520C0FCB8C1B0FA20C1A4C3A5BCB1B0C5C0C720C1B6B0C72DB3BBC1F62E E687770>

Microsoft PowerPoint - eSlim SV [080116]

歯15-ROMPLD.PDF


<4D F736F F F696E74202D203130C1D6325FBBF3BCBCBCB3B0E8B9D7BDC3C0DBC7B02E BC8A3C8AF20B8F0B5E55D>

Microsoft PowerPoint - eSlim SV [ ]

1

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

PC 실습

4. 다음주소지정방식중속도가가장빠른주소방식은? 가. immediate addressing mode 나. direct addressing mode 다. indirect addressing mode 라. index register. 5. 간접주소 (indirect addr

1217 WebTrafMon II

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스 시스템 시작 및 종료

삼성기초VHDL실습.PDF

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

RVC Robot Vaccum Cleaner

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr

USER GUIDE

CONTENTS CONTENTS CONTENT 1. SSD & HDD 비교 2. SSD 서버 & HDD 서버 비교 3. LSD SSD 서버 & HDD 서버 비교 4. LSD SSD 서버 & 글로벌 SSD 서버 비교 2

WebPACK 및 ModelSim 사용법.hwp

PowerPoint 프레젠테이션

시스코 무선랜 설치운영 매뉴얼(AP1200s_v1.1)

PowerPoint Presentation

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

PC 실습

JVM 메모리구조

슬라이드 제목 없음

tut_modelsim(student).hwp

SRC PLUS 제어기 MANUAL

DE1-SoC Board

2 / 26

hd1300_k_v1r2_Final_.PDF

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

R50_51_kor_ch1

Slide 1

BJFHOMINQJPS.hwp

DocsPin_Korean.pages

Microsoft PowerPoint - hw4.ppt [호환 모드]

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11),

소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를 제공합니다. 제품은 계속 업데이트되므로, 이 설명서의 이미지 및 텍스트는 사용자가 보유 중인 TeraStation 에 표시 된 이미지 및 텍스트와 약간 다를 수

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft Word - FunctionCall

Transcription:

VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다. SDRAM 을이용하여로직을설계할수있다. SDRAM 의메모리맵을작성할수있다. SDRAM 제어기를설계할수있다. 2/38

ROM 의설계 단순 ROM(read only memory) 의구현 내용이변화되지않는규칙들을저장해놓고읽어내는용도로만사용 입력 : 어드레스 출력 : 해당어드레스에저장되어있는데이터 ROM 0 data 0 address 1 2 data 1 data 2 data 3/38 ROM 의설계 단순 ROM(read only memory) 의 VHDL 표현 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity simple_rom is port( end; addr : in std_logic_vector (2 downto 0); data : out std_logic_vector (7 downto 0)); architecture rom of simple_rom is subtype rom_word is std_logic_vector(7 downto 0); type rom_table is array (0 to 7) of rom_word; 4/38

ROM 의설계 단순 ROM 의 VHDL 표현 - 계속 constant simple_rom : rom_table := ( "00000001", "00000010", "00000100", "00001000", "00010000", "00100000", "01000000", "10000000"); begin read:process (addr) variable ab read_add addr : integer range ge00 to 7; begin read_addr := conv_integer(addr); data <= simple_rom(read_addr); end process read; end rom; 5/38 ROM 의설계 시뮬레이션파형예 6/38

ROM 의설계 Sine ROM 의설계조건 0.2 도이상의각도분해능 (degree 기준 ) 0.002 이상의사인크기분해능 어드레스, 데이터버스의크기 구현에필요한어드레스크기 360/0.2 = 1800 주기특성을고려한최적화시, 90/0.2 = 450 구현에필요한데이터의폭 2/0.002002 = 1000 주기특성을고려한최적화시, 1/0.002 = 500 어드레스와데이터가모두 9 비트로결정 7/38 ROM 의설계 Sin, Cos ROM 의구현 SIN_ROM COS_ROM address 0 data 0 0 data 0 1 data 1 data address 1 data 1 9 2 data 2 9 9 2 data 2 9 data 8/38

ROM 의설계 시뮬레이션파형예 9/38 ROM 의설계 아날로그파형관측을위한 ModelSim 설정예 wave 윈도우생성 관측하고자하는신호위에서오른쪽버튼클릭 생성된 sub-window 에서 Properties... 버튼클릭 10/38

RAM 의설계 단순 RAM(Random Access Memory) 의구현 입력 : 어드레스, write_enable 신호, 입력데이터, 클럭 출력 : 출력데이터 w_en 0 RAM data 0 data_in 1 2 data 1 data 2 data_out address memclk 11/38 RAM 의설계 단순 RAM 의 VHDL 표현 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; all; use ieee.std_logic_unsigned.all; entity simple_ram is generic( ( ADDR_BITS : integer := 4; DATA_BITS : integer := 8); port( w_en : in std_logic; addr : in std_logic_vector(addr_bits-1 downto 0); data_in : in std_logic_vector(data_bits-1 downto 0); data_ out : out std_ logic _ vector(data _ BITS-1 downto 0); memclk : in std_logic); end; architecture ram of simple_ram is subtype ram_word is std_logic_vector(data_bits-1 downto 0); type ram_memory is array (0 to 2**ADDR_BITS - 1) of ram_word; signal simple_ram : ram_memory memory ; begin 12/38

RAM 의설계 단순 RAM 의 VHDL 표현 - 계속 mem_write: process (memclk) variable write_addr : integer range 0 to 2**ADDR ADDR_BITS - 1; begin if memclk = '1' and memclk'event then if w_en = '1' then write_addr := conv_integer(addr); simple_ram(write_addr) <= data_in; end if; end if; end process; ; mem_read: process (addr) variable read_addr : integer range 0 to 2**ADDR_BITS - 1; begin read_addr := conv_integer(addr); data_out <= simple_ram(read_addr); end process; end ram; 13/38 RAM 의설계 시뮬레이션파형예 14/38

Xilinx Core Generator 활용 Two-Port RAM 생성예 15/38 Xilinx Core Generator 활용 Two-Port RAM 시뮬레이션파형예 16/38

Xilinx Core Generator 활용 Two-Port RAM 시뮬레이션파형예 17/38 SDRAM 의활용 메모리의종류 SRAM(Static Random Access Memory) 전원이공급되는경우한번기록한데이터를계속유지 메모리접근이용이하나고집적화에불리하고전력소모또한큰편 DRAM(Dynamic Random Access Memory) 데이터의유지를위해서는주기적인리프레시 (refresh) 동작필요 행번지와열번지를이용한단계적인접근이필요해서불편 고집적화에유리하고전력소모도매우적음 SDRAM(Synchronous DRAM) DRAM 의고집적도에 SRAM 의고속처리의장점을모두가짐 내부 DRAM 에 synchronous interface 가추가된메모리 DDR SDRAM(Double Data Rate SDRAM) 클럭의상승및하강에지모두를이용한데이터처리가능 18/38

SDRAM 의활용 SDRAM 의내부구조 19/38 SDRAM 의활용 SDRAM 인터페이스신호들 CKE : clock enable CLK : 메모리인터페이스클럭 CS# : chip select(negative logic) WE# : write enable(negative logic) CAS# : column address strobe(negative logic) RAS# : row address strobe(negative logic) A[12:0] : row address 와 column address 제공 BA[1:0] : 4 개의 bank 선택어드레스제공 DQM : data mask signal DQ[7:0] : 양방향데이터버스 20/38

SDRAM 의동작제어 SDRAM 의모드레지스터 21/38 SDRAM 의동작제어 SDRAM 의 CAS 지연 22/38

SDRAM 의동작제어 SDRAM 의초기화과정 23/38 SDRAM 의동작제어 SDRAM 의초기화과정 전원을공급한다. SDRAM의모든입출력신호가정상화될때까지 CKE 신호를 0 으로유지한다. 안정적인 clock 신호를제공한다. 클럭이안정된이후 100us 동안아무런동작을가하지않거나 Command Inhibit 동작이나 No Operation 동작만수행되어야한다. 이기간동안 CKE를 1 로인가한다. 100us 지연조건이만족된이후적어도하나의 Command Inhibit 동작이나 No Operation 동작이수행되어야한다. 이후 precharge all 명령이수행되어야한다. t RP 시간동안기다린이후 auto refresh 명령이수행되어야한다. t RP는 precharge에소요되는시간이며매뉴얼에서주어진최소값 (18 ns) 이상을기다려야한다. 24/38

SDRAM 의동작제어 SDRAM 의초기화과정 t RFC 시간동안기다리면서 Command Inhibit 동작이나 No Operation 동작만수행되어야한다. t RFC 는 auto refresh 에소요되는시간이며매뉴얼에서주어진최소값 (60 ns) 이상을기다려야한다. 이후 auto refresh 명령이수행되어야한다. t RFC 시간동안기다리면서 Command Inhibit 동작이나 No Operation 동작만수행되어야한다. 이후모드레지스터의설정작업을진행한다. t MRD 시간동안기다리면서 No Operation 동작만수행되어야한다. 이후 SDRAM을사용할수있는준비가완료되었으며필요한메모리제어동작을수행하면된다. 25/38 SDRAM 의동작제어 SDRAM 의제어명령어예 26/38

SDRAM 의동작제어 SDRAM 메모리맵의설정 SDRAM 의장점 100MHz 이상의고속동작 동시에여러개의 bank 사용가능 하나의행에대해서는임의의컬럼에접근가능 SDRAM의단점 하나의뱅크내에서동시에여러행에대한접근이어려움 한번의접근이후다음접근을위해프리차지명령을수행해야함 주기적인리프레시가필요 SDRAM 의효과적인사용방법 메모리맵구성시장점을살리고단점을극복할수있는구조필요 burst mode 와 burst length 를활용한효과적인구성이필요 27/38 SDRAM 의동작제어 SDRAM 사용예 SDRAM bank 0 480x800 480x800 28/38

SDRAM 의동작제어 SDRAM 메모리맵의예 29/38 SDRAM 의동작제어 SDRAM 사용예 SDRAM bank 0 480x800 800x480 30/38

SDRAM 의동작제어 SDRAM 메모리맵의예 31/38 SDRAM 의동작제어 SDRAM ACTIVE 명령타이밍도 먼저접근어드레스의해당뱅크, 행주소를활성화 32/38

SDRAM 의동작제어 SDRAM PRECHARGE 명령타이밍도 해당뱅크, 행주소를접근한후에는반드시프리차지 33/38 SDRAM 의동작제어 SDRAM WRITE 명령타이밍도 34/38

SDRAM 의동작제어 SDRAM READ 명령타이밍도 35/38 SDRAM 의동작제어 SDRAM WRITE 타이밍도 36/38

SDRAM 의동작제어 SDRAM READ 타이밍도 37/38 SDRAM 의동작제어 SDRAM PRECHARGE 타이밍도 38/38