전자통신동향분석제 23 권제 6 호 2008 년 12 월 저전력아날로그회로기술 Low-Power Analog Circuit Design IT- 에너지융합부품소재특집 전영득 (Y.D. Jeon) 조민형 (M.H. Cho) 이희동 (H.D. Lee) 권종기 (J.K. Kw

Size: px
Start display at page:

Download "전자통신동향분석제 23 권제 6 호 2008 년 12 월 저전력아날로그회로기술 Low-Power Analog Circuit Design IT- 에너지융합부품소재특집 전영득 (Y.D. Jeon) 조민형 (M.H. Cho) 이희동 (H.D. Lee) 권종기 (J.K. Kw"

Transcription

1 저전력아날로그회로기술 Low-Power Analog Circuit Design IT- 에너지융합부품소재특집 전영득 (Y.D. Jeon) 조민형 (M.H. Cho) 이희동 (H.D. Lee) 권종기 (J.K. Kwon) 김종대 (J.D. Kim) 아날로그신호처리팀선임연구원아날로그신호처리팀선임연구원아날로그신호처리팀선임연구원아날로그신호처리팀팀장 NT융합부품연구부부장 목차 Ⅰ. 서론 Ⅱ. 저전압회로기술 Ⅲ. 아날로그회로기술동향 Ⅳ. 저전압아날로그특허동향 Ⅴ. 결론 CMOS 공정의가속적인스케일링에의해 CMOS 기술은종래의마이크론기술에서나노기술로변해가고있다. 이러한반도체소자및제작기술에따른온도와공정의변화에매우민감한부분인아날로그회로는설계초기단계에서중요한요소들 ( 이득, 누설전류, 잡음및부정합등 ) 을재검토할필요가있다. 또한, 나노 CMOS 공정을사용한 1.0 V 이하의저전압동작에서는아날로그신호의동적영역확보가어렵고잡음이증가하므로새로운패러다임을적용한혁신적인아날로그회로기술개발이필요한실정이다. 이에따라, 본고에서는그린기술 (green technology) 의한요소로서, 나노 CMOS 공정기술을이용한 1.0 V 이하전원전압의저전력아날로그회로기술동향과관련특허동향에대해서살펴보고자한다. 81

2 I. 서론 광대역화및모바일컨버전스에발맞춘다양한정보통신서비스의요구와이에따른시스템의다기능화추세를만족시키기위한부품기술로서의 CMOS 는이제 feature size 및게이트폭이한국인평균머리카락굵기의 500분의 1 정도인 0 nm 이하의기술에의해고집적도를지향하며계속해서성능향상을하고있다. 특히, 최근마이크로프로세서기술을예로보면, 온-칩캐시메모리의증가로인해고집적화경향이더욱빨라지고있으며, 집적된트랜지스터의수는 억개에이르고있다. ( 그림 1) 에서는현재생산되고있는칩에서트랜지스터의게이트가 0 nm 이하까지내려가고있음을보이고있다. 이수치는가장단순하고작은유기생체인바이러스와비슷한크기이다. CMOS 기술은종래의마이크론기술에서이제나노기술을향해진행하고있으며, 이에따른 1.0 V 근방의전원전압에서동작하는저전압설계가매우중요한관심사가되고있다. 본고에서는저소비전력 (low power) 특성과함께신호대비잡음 (SNR) 및동작속도등을보장하기위한저전력아날로그회로설계기술의최근동향에대해기술한다. 1 Micron 0.1 Nominal feature size Gate length Nanotechnology (<0 nm) 130 nm 90 nm 65 nm 45 nm 32 nm 70 nm 50 nm 30 nm 25 nm 18 nm12 nm 22 nm Ⅱ. 저전압회로기술 0.7X every 2 years Nanometer < 자료 >: Intel ( 그림 1) 트랜지스터 Feature Size 및게이트폭스케일 [1] 종래의마이크론기술에서의전원전압 (V DD ) 의 크기는신호의동적영역과대역폭을보장하는범위에서문턱전압 (threshold voltage: V th ) 과의일정비를유지하면서트랜지스터의크기축소에따라점차선형적으로감소되어왔다. 하지만 0 nm 이하의기술에서는전원전압에대한문턱전압의선형적감소율이보장되지않기때문에이전공정기술에서보다오히려회로설계측면에서대단히열악한상태에직면하게된다 (( 그림 2) 참조 ). CMOS 제조기술이미세화되면, 얇아지는산화층두께 (T ox ) 에의해메탈연결선저항이증가하며, 문턱전압감소에따른누설전류가심각한문제로대두된다. 또한, 보다더정밀을요하면서도오히려부정확해지는마스크정의와게이트영역에서의비록극소수이지만불순물에의한부정합도새로운문제거리가된다. 웨이퍼상의공간적부정합보다는미세화된트랜지스터고유의부정합이더심각해지고있으며, 이는전류변이와문턱전압부정합 (ΔV th ) 으로나타난다. 한편, 트랜지스터가작아지면표면결함 (surface defect) 에기인된 flicker(1/f) 잡음또한 DC에서부터심지어 MHz 근방까지도열잡음 (thermal noise) 보다크게나타나게되고, 그영향이회로의 VDD(V) 1.0 Vth(V) V DD = 0.6~1.2 V L min (µm) V th = 0.25~0.35 V ( 그림 2) Deep-submicron CMOS 에서전원전압및문턱전압 [2] 1 k Tox(nm) 0 AVth (mv. µm) 1.0µ 82

3 전영득외 / 저전력아날로그회로기술 정확도에심각하게작용하게된다. 이와같이문제들은회로성능에바로그영향을미치게되므로요구되는 SNR 등을위해서는새로운개념의저전압회로기법이필요하다. SNR은소모전력과비례적관계에있기때문에저전압동작에서의전력스케일링 (power scaling) 은대단히중요한설계의기준점이된다. 디지털설계에서의소모전력은식 (1) 에서보듯이공정기술에서 C 및 V DD 값의증감에의해스케일링이비교적용이하다. P digital = (1/2) CV 2 DD f (1) 반면, 아날로그의소모전력은디지털의경우와는달리식 (2) 와같이스케일링이그리간단하지않다. P analog = 8(V DD /V swing ) ktf (SNR) (2) 따라서아날로그는전원전압, 산화층두께, 부정합파라미터 (A Vth ) 등공정에서스케일링이가능한요소들에대한고려는물론이고동적영역확보를위한저전압회로기법, 선형성확보를위한클럭및이득부스팅기법, 스위치드증폭기, 입력공통모드 (common mode) 범위및이득범위를염두에둔저잡음증폭기, auto-zero 혹은 average 기법등의매칭개선기법을적용하여설계해야한다. 약 0.3 V 정도가한계일것같은문턱전압감소에기인된누설전류문제는동적전원전압을사용하는방법도있을수있다. 1/f 잡음은트랜지스터의게이트폭과 1/L 2 eff 의비례관계에있으므로긴채널 (long channel) 선택에의해그영향을최대한줄여야한다. 저전압설계에서는전압여유 (voltage headroom) 가부족하기때문에트랜지스터의 multiple stacking 및다중채널폭의사용을가능한피하고, differential 또는 pseudo-differential 신호에의한새로운회로구조를제안하여 SNR을확보해야한다. 바이어스는전류형태로 distribution 함으로써잡음및배선에서의전압강하에의한전압변이에대처하도록해야전체회로의정확도를성취할수있다. 또한, 신호의수신끝단에는반드시필터기능을구비하도록하여작아진신호의왜곡을최대한방지해야한다. Ⅲ. 아날로그회로기술동향 CMOS 공정의미세화에따라몇가지주요아날로그회로기술에대한기술동향을살펴보고자한다. 1. 나이퀴스트 (Nyquist) 신호변환기기술동향 아날로그- 디지털변환기 (ADC) 는이름에서알수있듯이자연계에존재하는아날로그신호를잡음에둔감하고신호처리가용이한디지털신호로변환하는회로이다. ADC에는플래시 (flash) 구조, 파이프라인 (pipeline) 구조, 폴딩 (folding) 구조, 연속근사 (SAR) 구조등요구되는해상도와동작속도에최적화된다양한구조가있다 (( 그림 3) 참조 ). 그중일반적으로응용시스템에많이적용되는 8~14 비트해상도와수 MHz~ 수백 MHz 동작속도를가지는 ADC의연구동향을기술한다. ( 그림 4) 는 N단으로구성된파이프라인 ADC 구조이다. 여러개로구성된배관파이프에물이순차 V in V in ADC Resolution S/H Stage 1 Stage N-1 Stage N A/D D 1k R bits D/A Sigma- Delta Σ - SAR 2 R Flash V res Pipeline k 0k 1M M 0M 1G G Sampling Rate Async. Time Interleave ( 그림 3) 동작속도및해상도에따른 ADC 구조 ( 그림 4) 파이프라인 ADC (e.g. R=1) D=0 D=1 V in V res 83

4 적으로흐르듯이, 파이프라인 ADC는 1단에서인가된아날로그입력신호의일부분을디지털변화한후나머지아날로그신호를다음단으로전달하고, 2단은앞단에서처럼동작하며, 총 N단을순차적으로거친후완전한디지털코드로변환된다. 각단은서브-ADC, DAC, 그리고증폭기로구성되며, 특히증폭기는파이프라인 ADC의 80% 이상의아날로그전력을소모하고전원전압의감소에따라설계의제약을가장많이받는블록중하나이다. 이와같이증폭기를기반으로하는 ADC는공정이세밀화될수록저전압 / 저전력회로설계를요구하며, 동시에설계난이도가증가하는문제에직면한다. 일반적으로요구되는해상도의성능을얻기위하여증폭기의 DC 이득은식 (3) 을만족하여야한다. G DC > 6 Bit + (3) 여기서 G DC 는증폭기의 DC 이득이며, Bit는 ADC의해상도를의미한다. 예를들어 12 비트 ADC를설계하기위해 82 db 이상의 DC 이득을갖는증폭기가요구되지만, 65 nm 또는 45 nm 공정에서기존의증폭기구조를사용하여고이득을얻기가쉽지않다. 또한, ADC의성능을나타내는여러지표중대표적인것으로 SNDR이있다. 원하는신호에대하여잡음과고조파 (harmonic frequency) 성분과의비를나타내는것으로식 (4) 와같이계산된다. SNDR = Signal / (Noise + Distortion) (4) 여기서 signal은신호의크기, noise는열잡음과 1/f 잡음의합, distortion은모든고조파성분의합을의미한다. ( 그림 5) 는증폭기의출력단을간단하게나타낸것으로, 전원전압에따른출력신호의크기를볼수있다 μm CMOS 공정에서는 1.8 V 전원전압을사용하기때문에 NMOS(MN1) 및 PMOS(MP1) 의 V DS 전압을 0.2 V로가정한다면, 출력전압은최대 1.4 V까지가능하다. 그러나 90 nm 이하의미세공정에서는 1.0 V 이하전원전압을사용하고 V DS 의변화는거의없기때문에최대출력전압이 0.6 V로 1.8 V MP1 1.0 V MP1 Room V out Room MN1 MN1 V out ( 그림 5) 전원전압에따른증폭기의출력신호크기 50% 이상감소하게된다. (4) 에서잡음및고조파성분이일정하고신호크기만작아지기때문에회로를동일하게설계한다고하더라도 ADC의해상도가 1 비트이상감소한다. 저전압저전력환경에서요구되는성능을만족시키기위하여 ADC의최근동향은크게세가지로나눌수있다. 첫번째방식은회로의단순화또는불필요한회로를제거하는것이다. 증폭기를기반으로한스위치드- 커패시터회로 ( 그림 6) 은출력신호가 slew 구간에서 exponential 하게증가하는경향을보여주고신호가원하는값으로정착되더라도증폭기는일정한전류를계속하여소모한다. 이를해결하기위하여증폭기를비교기와전류셀로대체하는 CBSC가제안되었다 (( 그림 7) 참조 )[3]. Slew 구간에서는출력단에일정한전류를공급하여출력신호가선형적으로증가하도록하고, 정착구간에서는전류공급을차단하여출력신호가홀딩 (holding) 하도록함으로써, 전력소모를최소화하고낮은전원전압에서도설계가용이한장점이있다. 그러나정확도면에서는떨어지기때문에현재 8~ 비트수준의 ADC가 CBSC 기법을적용하여구현되고있다. 회로를제거하는방법으로는인접한증폭기를공유하거나필요하지않은블록을없애는것이다. 파이프라인 ADC에서는여러단으로구성되어있고인접한단은서로위상이다른클럭에서동작하기때문에증폭기를공유하여전력소모를최소화할수 84

5 전영득외 / 저전력아날로그회로기술 C 2 C 2 v x C (a) +v O - C L v o v o (n) 있다 [4]. 그리고입력단에위치한 SHA는아날로그입력신호를샘플링한후다음반주기클럭동안신호를홀딩하는기능을하므로실제신호의디지털변환에는직접적으로관여하지않는회로이다. 또한, 아날로그신호의특성상회로가거쳐갈수록신호의정확도는떨어지기때문에 SHA 회로를제거하여전력소모를줄이고신호의정확도도높일수있다 [5]. 두번째방식은저전압저전력구조에적합한 ADC 개발이다. 최근 1~2년사이 SAR ADC가 ISSCC 에많이발표되고있는데, 사실 SAR ADC의구조는새로개발된구조가아니라 1980년대부터 ADC의한축을담당하고있는구조이다. 일반적으로 SAR ADC는하나의비교기를반복적으로사용하는 ADC 구조로회로가간단하고, SHA와같이증폭기를사용하는아날로그회로가없기때문에면적과전력소모를최소화할수있을뿐아니라저전압회로에적용이용이한장점이있다. 그러나, 동일회로를반복적으로사용하는구조이기때문에동작속도가제한 v x V XO (b) (c) ( 그림 6) 증폭기를기반으로하는스위치드 - 커패시터구조및입출력파형 v x + - C 1 (a) l x +v O - C L v o v o (n) v x V XO (b) (c) ( 그림 7) 비교기를기반으로하는스위치드- 커패시터구조및입출력파형 t t t t 되는단점이있어 1 MHz 이하의 ADC에서만주로사용되어왔다. 최근 CMOS 공정이발달하면서 MOS의 f T (cut-off frequency) 의증가와비동기 (asynchronous) 기법의발전으로인하여 40~50 MHz에서동작하는 SAR ADC가발표되었고, 높은해상도구현을위해에러보정기법에대한연구개발이동시에활발히이루어지고있다 [6]. 마지막으로디지털보정기법을적용한 ADC이다. 미세공정으로인하여이전보다동일한면적에집적할수있는게이트수가증가하면서기존의아날로그회로에서처리하던신호를디지털회로에서처리하는것이가능해지게되었다. 기존의보정기법은 ADC의커패시터매칭을보정하여 12~14 비트이상의해상도를얻기위하여적용되었지만, 최근에는 ~12 비트의 ADC를구현하기위하여저전압환경에서설계가어려운아날로그회로의정확도를낮추면서이를디지털회로에서보정하려는것에초점이맞추어져있다. 예를들어, 12 비트 ADC를위하여 82 db 이상의고이득증폭기를설계하는대신 30~40 db의저전력증폭기로설계를하고, 여기서발생하는오차성분을디지털회로를사용하여보정하는방법이대표적이다 [7]. 2. 시그마-델타신호변환기기술동향시그마 -델타신호변환기의기본개념은나이퀴스트샘플링속도보다매우빠른샘플링주파수를사용하는오버샘플링기법과피드백을이용한잡음변형기법을이용하여, 낮은비트의양자화기로높은해상도의출력신호를얻는것이다. 즉, 필요한해상도를동작속도와교환하여얻는방법이다. 시그마 - 델타신호변환기의장점은필요한해상도의신호변환기를설계함에있어아날로그회로의정확도의존성이크게낮아진다는점과높은비트를얻는과정이디지털필터를통해이루어지므로디지털 VLSI 공정을통하여용이하게구현될수있다는점이다. 시그마 -델타신호변환기개념은 1962년 Inose [8] 에의해처음발표되었고, 이후 20년이지나면서 85

6 CMOS를이용한 VLSI 반도체기술이발전함에따라오디오및음성용신호변환기를중심으로각광을받기시작하여, 근래오디오용으로는 0 db 이상의동적범위 (dynamic range) 를갖는고성능시그마-델타신호변환기가사용되고있다. 이후앞에서기술한바와같이 CMOS 공정기술의발전에따른회로속도의증가와시그마 -델타신호변환기구조설계기술의발전, 그리고회로설계기술의발전이수십 khz에서머물던신호대역을수십 MHz까지증가시킬수있게되었다. 그래서시그마 -델타신호변환기의응용분야는기존의오디오와같은협대역분야를뛰어넘어유무선통신시스템의아날로그 frontend 신호처리분야로확대되고있다. 유무선통신시스템에서시그마- 델타신호변환기, 특히시그마 -델타 ADC를사용하는이유는단말기의다중표준통신방식을지원하기에용이하고, anti-aliasing 필터및 AGC의설계조건이완화되며, 아날로그회로의비중이낮아짐에따라저전압 CMOS 공정에유리하기때문이다. 그결과, 전체시스템을저전력으로구성할수있다. 이런통신시스템용광대역시그마 -델타 ADC의해상도확보를위해서는잡음변형필터의차수를높이거나, 잡음변형필터를고속동작시키거나, 양자화기의해상도를높여야한다. 고속동작을위한저전압공정을이용함에있어잡음변형필터의차수를높이는방법은시그마 -델타 ADC의안정성문제와가용입력범위의축소문제로인해기술적용에제약이있다. 그래서통신시스템용시그마 -델타 ADC의최근연구동향은멀티비트양자화기를이용하고연속시간회로를통한고속잡음변형필터를구현하는연구에그초점이맞춰져있다. 연속시간시그마 -델타 ADC( 이하연속시간 ADC) 는기존의이산시간혹은스위치드커패시터타입의시그마 -델타 ADC( 이하이산시간 ADC) 에비해정착특성에따른성능저하현상이크지않다. 따라서이산시간 ADC에비해고속동작이가능하다. 또한내부회로인적분기의연속시간동작에따라 antialiasing 기능을본질적으로보유하고있으며, 이산 시간회로에비해회로에서발생하는잡음의영향이낮아진다. 또한이산시간 ADC에서는글리치 (glitch) 가 VCO, LNA, Mixer 등의블록에영향을주어통신시스템감도를저하시킬수있는것에비해, 연속시간 ADC에서는이러한문제가발생하지않아통신시스템감도저하가거의없는장점도있다. 그러나연속시간 ADC는이산시간 ADC에비해높은공정변수민감도, 높은클럭지터 (jitter) 민감도, 심볼간섭현상 (ISI) 이라는문제점들이있다. 이산시간 ADC는잡음변형필터의계수들이커패시터정합특성에의해결정되므로회로의계수정확도가우수하나, 연속시간 ADC는잡음변형필터의계수들이저항, 커패시터, CMOS의트랜스컨덕턴스에의해결정되므로잡음변형필터의계수가공정변화에의해크게변화할수있다. 이런계수의변화는전체 ADC의성능을크게저하시킨다. 또한연속시간 ADC는잡음변형필터내 DAC의파형이구형파인경우, 연속시간 ADC에인가되는클럭의지터는 DAC에잡음으로인가되는되는것과같다. 그러므로연속시간 ADC가높은해상도를확보하기위해서는클럭의지터특성이매우우수해야한다. 이는통신시스템구성시클럭발생기의설계조건을높이게되어비용을증가시키는원인이될수있다. 그리고 DAC 파형의비대칭적인상승, 하강은 DAC 출력신호간의의존성발생에따른심볼간섭현상 (ISI) 으로전체 ADC의해상도저하를초래할수있다. 또한멀티비트구조를사용하는경우, 잡음변형루프에멀티비트 DAC를필요로하는데, 멀티비트 DAC는 DAC 소자의부정합에따라비선형특성을가지고있다. 이러한비선형특성역시 ADC의성능을저하시키는요인이므로, 이를선형화하기위한기법이요구된다. 멀티비트연속시간시그마- 델타 ADC는통신시스템에서이용하기에많은장점을가지고있어좋은선택임에도불구하고, 본절에기술된바와같이해결해야하는문제점들역시많이있다. 따라서최근시그마 -델타신호변환기, 특히 ADC의연구동향은앞서언급한멀티비트연속시간시그마- 델타 ADC 86

7 전영득외 / 저전력아날로그회로기술 의문제점해결을위한잡음변형필터의구조설계기법, 회로설계기법에집중되고있다. 3. 클럭발생기기술동향 전압제어발진기 (VCO) 는유 / 무선정보통신단말기내에서사용되는주파수대역가운데송수신시디지털데이터에의해채널을선정하는주파수합성기의일부분으로사용되며, 외부에서인가된제어전압을가변하여원하는발진주파수의클럭신호를출력해주는회로이다. 위상제어루프 (PLL) 는일반적으로주파수합성기또는클럭발생기라고하며, ( 그림 8) 과같이 VCO 및분주기, 위상주파수검출기, 전하펌프, 루프필터등으로구성되며, 부궤환특성을이용해서온도나날씨등주변환경에영향을받지않고출력신호의주파수를일정하게유지시켜주는회로이다. 앞서기술한바와같이 CMOS 공정기술의급속한스케일링에의해동일면적에집적되는디지털게이트수가급격히증가하면서낮은추가비용으로아날로그회로튜닝과개선된보정기법을수행할수있게되었다. 디지털적으로강화되는아날로그회로기술쪽으로형성되는현재의기술경향은 PLL과 VCO 회로설계를위한패러다임을기본적으로변화시키고있다. 데이터변환기클럭을위한 VCO의최근연구동향은저전압 / 저전력설계에부합하도록전원전압을다른디지털블록과동일하게설정하고, 수동소자의 Q값의향상으로인해작은전류에도발진특성을유지하도록연구되고있다. 뿐만아니라, 클럭주파수제어방식이아날로그방식에서디지털방식으로변화되고있으며, 이에대한연구가활발히진행되고있다 [9]. Fin Phase/ Frequency Detector Charge Pump Divider/ N Loop Filter ( 그림 8) 전하펌프 PLL 구조 VCO Fout PLL의최근연구동향은다음과같이두가지로나누어볼수있다. 첫번째방식은저전압과미세화된공정기술에적합한회로기법을추가한아날로그 PLL 방식이다. 다양한회로기법중의하나로듀얼루프를사용하는 PLL 방식이있다. 하나의루프는넓은대역폭을가지고있어서저위상잡음특성과함께빠른정착시간을가질수있으며, 다른루프는디지털적으로조절되는위상조정기를이용해서유동성있는클럭 scheme 을만들어낼수있다 []. 또다른회로기법인다이내믹바이어스기법을통해서분주기계수및온도, 공정변화에상관없이대역폭과지터특성을일정하게유지시킴으로써폭넓은출력주파수범위에서회로의안정도를얻을수있다. 또한, 저전압설계환경에서더욱더심해지는전원잡음을줄이기위해서정류회로를사용하는방법도활발히연구되고있다. 그중에서듀얼이득모드 VCO 를이용해서고이득협대역제어루프와저이득광대역정밀제어루프로나누고, 정류회로를저이득협대역루프에위치함으로써추가적으로발생하는전력소모를최소화하고전원잡음특성을향상시키는연구가진행되고있다 [11]. 두번째방식은 ADPLL 방식이다. 앞서기술한아날로그 PLL에서는전원전압잡음및온도와공정변동에매우민감하기때문에공정 migration 시, 아날로그회로들을재설계해야하며, 미세화된공정으로갈수록작은가용전압범위와큰누설전류등으로인해설계가어려워지는단점이있다. 이와더불어, 루프필터에존재하는 R과 C로인해면적이커진다. 이를해결하기위해 ADPLL 이대두되고있다. ADPLL 은온도변화및전원전압잡음에둔감하고, 디지털코딩형태로설계되기때문에공정 migration 이용이하며, 그설계기간이짧다. 특히 CMOS 공정이미세화될수록, 전원전압이낮아질수록위의장점은더욱부각된다. ( 그림 9) 와같이아날로그회로가강조된 PLL 블록들, 즉 VCO 및전하펌프와루프필터가 DCO, TDC, 그리고디지털루프필터로대체되고있으며, 그결과로 ADPLL 형태로진화하고있다 [12]. 위의구조는저가격고집적디지털 CMOS 공 87

8 Fin TDC 1 1-z -1 Digital Loop Filter 1-z -1 b 0 - b 1 z a 1 z z -1 Integer Counter Fractional TDC DCO ( 그림 9) All-digital Fractional-N PLL 구조 [12] 정기술로구현하는데적합하다. 뿐만아니라 PLL 에서존재하는위상잡음과스퓨리어스톤을 DSP 기술의장점을활용한다면크게감소시킬수있다. 그리고, 집적회로외부에존재하던크리스털발진기도주파수변동에대한디지털보정기술향상으로인해실리콘으로대체가가능해질것이다 [13]. 최근클럭발생기경향은고집적도를가지는혼성 SoC의 PLL과발진기의성능향상을위한새로운디지털기법에집중되고있다. Ⅳ. 저전압아날로그특허동향 Fout 1988년이후저전압아날로그회로기술분야의전체특허출원동향을분석해보면 ( 그림 ) 과같이출원건수가지속적으로증가추세를보이며저전압아날로그회로기술에대한꾸준한연구활동이수행되고있는것으로파악된다. ( 단 2006년이후특허 출원건수가감소하는것으로나타나는것은미공개기간 1년 6개월동안의데이터가반영되지못한것에의한것으로, 실제출원이감소한것은아님 ) ( 그림 11) 은저전압아날로그회로기술의국가별전체특허동향을나타낸것으로, 그점유율을보면미국 (66%)-일본(14%)-한국(%)-유럽(%) 의순으로출원점유율을나타내고있으며, 모든국가의출원건수역시전체특허출원동향과마찬가지로지속적인증가추세를보이고있고, 이를포트폴리오맵을통해분석을해보면전체동향및각국가별발전단계모두발전기에있는것으로파악된다. 이중에서미국의저전압아날로그회로기술관련특허출원점유율이대략 66% 를차지하고있어미국을중심으로저전압아날로그회로기술관련지적재산권확보활동및기술경쟁이치열하게일어나고있음을파악할수있다. 그리고특허출원인의국적별특허출원점유율을살펴보면미국 (53%)-일본 (24%)- 한국 (6%)-독일(6%)-대만(3%) 의점유율을보여주고있으며, 여기에서도역시미국중심으로저전압아날로그회로기술의개발및특허활동이활발히진행되고있는것으로파악할수있다. 그리고우리나라의경우 1990년대중반이후저전압아날로그회로기술에대한특허활동이급격하게증가한것을확인할수있다. ( 그림 12) 는저전압아날로그회로기술관련특허를주요아날로그기능블록별특허동향으로분석 ( 단위 : 건 ) ( 단위 : 건 ) 출원미공개특허존재 누적합계 1400 당해년도아날로그회로기술특허건수 ( 그림 ) 아날로그회로기술의연도별특허동향 누적합계아날로그회로기술특허건수 88

9 전영득외 / 저전력아날로그회로기술 유럽특허 166, % 한국특허 169, % ( 단위 : 건 ) 200 일본특허 251, 14% 미국특허 1153, 66% 총합계미국일본한국유럽 ( 그림 11) 저전압아날로그회로기술의연도별 / 국가별전체특허동향 분류별출원건수 클럭발생기 (PLL) 400, 25% 회로기술 199, 12% ( 단위 : 건 ) 시그마 - 델타신호변환기 300, 19% 회로기술아날로그-디지털신호변환기 디지털 - 아날로그신호변환기 266, 16% 아날로그 - 디지털신호변환기 447, 28% 40 디지털 - 아날로그신호변환기 시그마 - 델타신호변환기클럭발생기 (PLL) ( 그림 12) 주요아날로그기능블록별저전압회로기술특허동향 한것으로아날로그- 디지털신호변환기가 28% 로가장큰점유율을차지하고, 다음으로클럭발생기, 시그마 -델타신호변환기, 디지털 -아날로그신호변환기의순으로각각 25%, 19%, 16% 의점유율을차지하고있다. 이중에서특히 1990년대중반이후아날로그- 디지털신호변환기, 클럭발생기에대한출원건수가가파르게증가하는모습을보여저전압아날로그회로기술중해당기능블록에대한연구활동이상대적으로더욱활발한것으로나타난다. ( 그림 13) 은주요아날로그기능블록별특허동향 을국가별 -기능블록별분포현황으로나타낸것으로, 이를살펴보면모든국가에서아날로그- 디지털신호변환기에대한특허출원이상대적으로높은비중을차지하고있음을확인할수있다. 그리고위에서언급한것과마찬가지로모든기능블록별특허활동과연구활동이활발하게진행되는국가는역시미국임을다시한번확인할수있다. 우리나라에서는아날로그- 디지털신호변환기다음으로클럭발생기에대한특허출원이활발하고, 일본도마찬가지현상을보여주고있다. 그리고유럽 89

10 은다른국가에비해시그마 -델타변조기에관한특허출원이활발한것을확인할수있다. V. 결론 회로기술 아날로그 - 디지털신호변환기 디지털 - 아날로그신호변환기 시그마 - 델타신호변환기 클럭발생기 (PLL) 미세화되어가는반도체소자및공정기술에따른저전력아날로그회로기술의수요는보다낮아진전원전압의열악한설계환경으로인해아날로그회로구현을더욱더어렵게만들고있다. 이러한당면문제점들은회로설계자들의끊임없는노력과새로 유럽한국일본미국 ( 그림 13) 저전압아날로그회로기술의국가별 - 기능블록별특허분포현황 용어해설 ( 단위 : 건 ) SNR: 신호대잡음비를뜻하는것으로서, 잡음을절대값이아닌신호전력과의비로나타내는이유는, 잡음의영향이절대적인레벨값이아니라신호의크기에따라비례적으로영향을주기때문에이와같은신호- 잡음비를통해잡음이어느정도인지를평가하는것이다. 일반적으로단위는 db( 데시벨 ) 을사용한다. CMOS: 반도체소자의일종으로서, NMOS 와 PMOS 의조합으로구성되어있다. 흔히 0.13 µm CMOS, 90 nm CMOS 등으로불리우는것은 CMOS 게이트폭의길이를뜻하는것으로숫자가작아질수록 MOS 의크기도함께줄어들어, 작은면적에많은소자를집적할수있다. 최근 CMOS 공정은 45 nm 이하로낮아지고있는추세이며, 메모리분야에서 CMOS 공정의미세화를주도하고있다. 운회로기법개발을요구하고있다. 또, 미세소자를이용한고집적회로에서는고속동작과소비전력사이의상관관계를고려한유연성있는설계가요구되고있다. 전원전압및소비전력감소에따른아날로그기술의패러다임의변화는나노소자기반의융합부품기술에접목되어고효율아날로그회로의원천기술확보와함께초기시장점유에있어유리한입지를점할수있으리라전망된다. 나아가, 혁신적선진기술선도를목표로추진중인그린산업의정보통신기술, 생명공학기술, 나노기술및문화산업기술들을포괄하는이른바녹색기술 (green technology) 의실현을위한원천기술로서의요소적역할을할것으로기대된다. 약어정리 ADC Analog-to-Digital Converter ADPLL All Digital PLL AGC Automatic Gain Control CBSC Comparator-based Switched Capacitor CMOS Complementary Metal Oxide Semiconductor DAC Digital-to-Analog Converter DCO Digitally Controlled Oscillator DSP Digital Signal Processing ISI Inter-Symbol Interference ISSCC International Solid-State Circuits Conference PFD Phase Frequency Detector PLL Phase Locked Loop SAR Successive Approximation Register SHA Sample-and-Hold Amplifier SNDR Signal-to-Noise and Distortion Ratio SNR Signal-to-Noise Ratio SoC System On Chip TDC Time-to-Digital Converter VCO Voltage Controlled Oscillator 참고문헌 [1] S. Chou, Integration and Innovation in the Nanoelectronics Era, ISSCC Dig. Tech Papers, Feb. 2005, pp

11 전영득외 / 저전력아날로그회로기술 [2] K. Bult, Analog Design in Deep Sub-micron CMOS, 2000 ESSCIRC, Sep. 2000, pp [3] J.K. Fiorenza et al., Comparator-based Switchedcapacitor Circuits for Scaled CMOS Technologies, IEEE J. Solid-State Circuits, Vol.41, No.12, Dec. 2006, pp [4] Y.D. Jeon et al., A 5-mW 0.26-mm 2 -bit 20-MS/s Pipelined CMOS ADC with Multi-stage Amplifier Sharing Technique, ESSCIRC, Sep. 2006, pp [5] Y.D. Jeon et al., A 4.7mW 0.32mm 2 b 30MS/s Pipelined ADC without a Front-end S/H in 90nm CMOS, ISSCC Dig. Tech Papers, Feb. 2007, pp [6] M. Hesener et al., A 14b 40MS/s Redundant SAR ADC with 480MHz Clock in 0.13pm CMOS, ISSCC Dig. Tech. Papers, Feb. 2007, pp [7] B. Murmann et al., A 12-bit 75-MS/s Pipelined ADC Using Open-loop Residue Amplification, IEEE J. Solid-State Circuits, Vol.38, No.12, Dec. 2003, pp [8] H. Inose et al., A Telemetering System by Code Modulation-Δ-Σ Modulation, IRE Trans. Space Electron. Telemetry, Vol.8, No.9, Sep. 1962, pp [9] J. Zhuang et al., A 3.3GHz LC-Based Digitally Controlled Oscillator with 5kHz Frequency Resolution, ASSCC, Nov. 2007, pp [] A. Rylyakov et al., A Gb/s CMOS Quad Transceiver Cell Using On-chip Regulated Dualloop PLLs, IEEE J. Solid-State Circuits, Vol.38, No.5, May 2003, pp [11] A. Arakali et al., A 0.5-to-2.5GHz Supply- Regulated PLL with Noise Sensitivity of -28dB, IEEE CICC, Sep. 2008, pp [12] C. Weltin-Wu et al., A 3GHz Fractional-N Alldigital PLL with Precise Time-to-digital Converter Calibration and Mismatch Correction, ISSCC Dig. Tech Papers, Feb. 2008, pp [13] S. Farahvash et al., A Temperature-compensated Digitally-controlled Drystal Pierce Oscillator for Wireless Applications, ISSCC Dig. Tech Papers, Feb. 2008, pp

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

<31392DB9DDB5B5C3BCB9D7C5EBBDC5BCD2C0DA28C0E5BFB5C2F9292E687770>

<31392DB9DDB5B5C3BCB9D7C5EBBDC5BCD2C0DA28C0E5BFB5C2F9292E687770> Journal of the Korea Institute of Information and Communication Engineering MOM 커패시터를사용한디지털 - 아날로그변환기를가진 10-bit 10-MS/s 비동기축차근사형아날로그 - 디지털변환기 정연호 장영찬 * A 10-bit 10-MS/s Asynchronous SAR analog-to-digital

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 978 984. http://dx.doi.org/10.5515/kjkiees.2015.26.11.978 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Transceiver

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

<303920C1A4C7D7B1D92DC0FCBFF820C0E2C0BD20BFB5C7E2C0BB20C1D9C0CCB1E22E687770>

<303920C1A4C7D7B1D92DC0FCBFF820C0E2C0BD20BFB5C7E2C0BB20C1D9C0CCB1E22E687770> 한국산학기술학회논문지 Vol. 10, No. 2, pp. 269-273, 2009 허호영 1, 정항근 2* An Analysis of a VCO Voltage Regulator for Reducing the Effect of Power Supply Noise Hoh-Young Heo 1 and Hang-Geun Jeong 2* 요약정전압기는 VCO의제어전압의전원잡음을줄이기위해사용될수있다.

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2

Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2 저전력복합센서용 아날로그프론트엔드 (AFE) 기술 2011. 04. 27. 센서인터페이스연구팀 / 융합부품 소재연구부문 1 Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2 1 개요 기술의정의 2 개이상의센서들이하나의모듈또는패키지형태로일체형으로제작된센서 가스센서 박막가스센서, 집적형가스센서

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2013년 7월전자공학회논문지제 50 권제 7 호 Journal of The Institute of Electronics Engineers of Korea Vol. 50, NO. 7, July 2013 http://dx.doi.org/10.5573/ieek.2013.50.7.122 논문 2013-50-7-16 45nm CMOS 공정기술에최적화된저전압용이득 -

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

Microsoft PowerPoint - ch25ysk.pptx

Microsoft PowerPoint - ch25ysk.pptx Dynamic Analog ircuits (h. 5) 김영석 충북대학교전자정보대학 0.3.. Email: kimys@cbu.ac.kr 전자정보대학김영석 5- ontents 5. The MOSFET Switch 5. Fully Differential ircuits 5.3 Switched-apacitor ircuit 전자정보대학김영석 5- 5. The MOSFET

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 758 765. http://dx.doi.org/10.5515/kjkiees.2018.29.10.758 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) 24

More information

- 2 -

- 2 - 2014 년융 복합기술개발사업 ( 융 복합과제 ) 제안요청서 목차 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - Ω - 18 - - 19 - - 20 - 기계소재 -001-21 - 기계소재 -002-22 - 기계소재

More information

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770>

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 12, No. 6 pp. 2729-2734, 2011 DOI : 10.5762/KAIS.2011.12.6.2729 DC 정합회로를갖는능동 Replica LDO 레귤레이터 유인호 1, 방준호 1*, 유재영 2 1 전북대학교 IT 응용시스템공학과

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc 사 용 설 명 서 SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 차 례 차 례 ---------------------------------------------------------------------- 2 안전지침 / 주의사항 -----------------------------------------------------------

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 7 월전자공학회논문지제 49 권 SD 편제 7 호 23 논문 2012-49SD-7-4 1.42-3.97 GHz디지털제어방식 LC 발진기의설계 (A Design of 1.42-3.97 GHz Digitally Controlled LC Oscillator ) 이종석 *, 문용 ** * (Jongsuk Lee and Yong Moon ) 요 약 디지털

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

HomeTheater.doc

HomeTheater.doc *Hometheater* (http://wwwjsmyungcom) (jsmyung@imndorkr : 02-2610-0605) - 1 - (Home Theater) DVD(Digital Versatile (VIDEO) Disk), TV,, ' ' ' ',, (Family Room),,,, TV,,,,,,, ' ', ' - 1 - *Hometheater* (http://wwwjsmyungcom)

More information

05 김성진-1.hwp

05 김성진-1.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 798 805. http://dx.doi.org/10.5515/kjkiees.2015.26.9.798 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) EMI

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

KAERITR hwp

KAERITR hwp KAERI/TR-3143/2006 유도초음파를이용한직선배관의 원거리결함탐지실험 Experiments of Long-range Inspection Method in Straight Pipes using Ultrasonic Guided Waves 2006. 2 한국원자력연구소 TR-3143-2006- 수정요청부분.hwp 2006-03-13 오전 9:59 2 쪽중

More information

The 23 rd Korean Conference on Semiconductors (KCS 2016) 제 23 회한국반도체학술대회 2016 년 2 월 22 일 ( 월 )-24 일 ( 수 ), 강원도하이원리조트 Chip Design Contest 2016 년 2 월 23

The 23 rd Korean Conference on Semiconductors (KCS 2016) 제 23 회한국반도체학술대회 2016 년 2 월 22 일 ( 월 )-24 일 ( 수 ), 강원도하이원리조트 Chip Design Contest 2016 년 2 월 23 Chip Design Contest 2016 년 2 월 23 일 ( 화 ) 08:30-18:30 [CDC] Chip Design Contest Room L 로비 (5 층 ) CDC_201501002 멤리스터-CMOS 기술을이용한로직셀설계주저자 : 조성완지도교수 : 조경록충북대학교 CDC_201501003 CDC_201501004 CDC_201501005 The

More information

< C0FCC6C4BBEABEF7B5BFC7E E687770>

< C0FCC6C4BBEABEF7B5BFC7E E687770> 한국전파진흥협회 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - Ⅰ - 25 - - 26 - - 27 -

More information

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe Smart & Green Technology Innovator 경선추 2-18. 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixer 등, MMIC 설계기술임. -0- 1 기술개요 2 개발기술의주요내용 3 기술적용분야및기술의시장성

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

The 23 rd Korean Conference on Semiconductors (KCS 2016) 제 23 회한국반도체학술대회 2016 년 2 월 22 일 ( 월 )-24 일 ( 수 ), 강원도하이원리조트 Chip Design Contest 2016 년 2 월 23

The 23 rd Korean Conference on Semiconductors (KCS 2016) 제 23 회한국반도체학술대회 2016 년 2 월 22 일 ( 월 )-24 일 ( 수 ), 강원도하이원리조트 Chip Design Contest 2016 년 2 월 23 Chip Design Contest 2016 년 2 월 23 일 ( 화 ) 08:30-18:30 [CDC] Chip Design Contest Room L 로비 (5 층 ) CDC_201501002 멤리스터-CMOS 기술을이용한로직셀설계주저자 : 조성완지도교수 : 조경록충북대학교 CDC_201501003 CDC_201501004 CDC_201501005 The

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

내용 q Introduction q Binary passand modulation Ÿ ASK (Amplitude Shift Keying) Ÿ FSK (Frequency Shift Keying) Ÿ PSK (Phase Shift Keying) q Comparison of

내용 q Introduction q Binary passand modulation Ÿ ASK (Amplitude Shift Keying) Ÿ FSK (Frequency Shift Keying) Ÿ PSK (Phase Shift Keying) q Comparison of 6 주차 통과대역디지털변조 q 목표 Ÿ Digital passand modulation 이해 Ÿ ASK, FSK, PSK, QAM의특성비교 - Error proaility - Power spectrum - Bandwidth efficiency ( 대역효율 ) - 그외 : implementation 디지털통신 1 충북대학교 내용 q Introduction q

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 1, Jan 서론 PC PMIC(Power Management IC) [1]. PMIC DC-D

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 1, Jan 서론 PC PMIC(Power Management IC) [1]. PMIC DC-D THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 7180. http://dx.doi.org/10.5515/kjkiees.2015.26.1.71 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) LDO PSR

More information

Microsoft PowerPoint - ch12ysk2015x [호환 모드]

Microsoft PowerPoint - ch12ysk2015x [호환 모드] 회로이론 h 가변주파수회로망의동작 김영석 충북대학교전자정보대학 5.9. Email: kimy@cbu.ac.kr k h- 소자의주파수특성 h 가변주파수회로망 : 학습목표 회로망함수의영점 zero 과극점 pole 회로망함수의보드선도 bode plot 직병렬공진회로해석 크기와주파수스케일링개념 저역통과 PF 고역통과 HPF 대역통과 BPF 대역저지 BF 필터특성 수동및능동필터해석

More information

Microsoft Word - IO_2009_메모리반도체.doc

Microsoft Word - IO_2009_메모리반도체.doc 메모리 반도체 SemiconductorMemory Chips 2009.1 평가1실 조수희 애널리스트 7872321 suhee.cho@kisrating.com 평가1실 박춘성 연구위원 7872341 cspark@kisrating.com 평가1실 손재형 실장 7872250 jaihyoung.son@kisrating.com Summary 공급과잉 상태가 지속되는

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

Microsoft PowerPoint - 카메라 시스템

Microsoft PowerPoint - 카메라 시스템 카메라 시스템 中 I. CMOS Image Sensor CCD / CIS @ CCD와 CMOS 이미지센서는 광검출 방식에 있어서 모두 p-n 포토다이오드 (photodiode)를 이용한다. 그러나 CCD와 CMOS는 포토다이오드에서 광 검출을 통해 출력된 전자를 전송하는 회로에 있어서 근본적으로 전혀 다른 방식을 채택하고 있다. @ CCD는 개개의 MOS (metal

More information

<333920C3D6BCAEBFEC2DB4C9B5BF20C0CEB4F6C5CDB8A620C0CCBFEBC7D12E687770>

<333920C3D6BCAEBFEC2DB4C9B5BF20C0CEB4F6C5CDB8A620C0CCBFEBC7D12E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 14, No. 7 pp. 3425-3430, 2013 http://dx.doi.org/10.5762/kais.2013.14.7.3425 능동인덕터를이용한주파수가변형대역통과필터설계 이석진 1, 최석우 2* 1 OCI, 2 전북대학교전기공학과 Frequency-Tunable

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

05 목차(페이지 1,2).hwp

05 목차(페이지 1,2).hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Apr.; 25(4), 418 425. http://dx.doi.org/10.5515/kjkiees.2014.25.4.418 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Doppler

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

Small-Cell 2.6 GHz Doherty 표 1. Silicon LDMOS FET Table 1. Comparison of silicon LDMOS FET and GaN- HEMT. Silicon LDMOS FET Bandgap 1.1 ev 3.4 ev 75 V

Small-Cell 2.6 GHz Doherty 표 1. Silicon LDMOS FET Table 1. Comparison of silicon LDMOS FET and GaN- HEMT. Silicon LDMOS FET Bandgap 1.1 ev 3.4 ev 75 V THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 108 114. http://dx.doi.org/10.5515/kjkiees.2016.27.2.108 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Small-Cell

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

(Microsoft Word - GNU\272\270\260\355\274\255)

(Microsoft Word - GNU\272\270\260\355\274\255) GNU Radio 를이용한 AM Reeiver 구현 이봉준 2008-07-25 1. 연구목적 Software Radio 를이해하고 GNU Radio 와 Universal Software Radio Peripheral (USRP) 를이용한 AM Reeiver 를구현한다. 2. GNU Radio and USRP GNU Radio는 GNU General Publi

More information

<343520C0CCBDC2B4EB2DC0A7BCBA20C5EBBDC5BFEB20C1D6C6C4BCF620C7CFC7E22E687770>

<343520C0CCBDC2B4EB2DC0A7BCBA20C5EBBDC5BFEB20C1D6C6C4BCF620C7CFC7E22E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 801-807, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.801 위성통신용주파수하향변환기의설계및제작 이승대 1*, 나상엽 2 1 남서울대학교전자공학과, 2 남서울대학교컴퓨터학과

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 3 pp , ISSN 197

Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 3 pp , ISSN 197 Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 3 pp. 1700-1706, 2014 http://dx.doi.org/10.5762/kais.2014.15.3.1700 ISSN 1975-4701 / eissn 2288-4688 손현식 1, 이민지 1, 박원경 1, 송한정 1*

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Jan.; 25(1), 47 52. http://dx.doi.org/10.5515/kjkiees.2014.25.1.47 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Circuit

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

CD실행표지

CD실행표지 November 30 (Wed) ~December 2 (Fri), 2011 Hanwha Phoenix Park, PyeongChang Organized by OSK / Photonics Division KICS / Optical Communication Division IEEK / Optical Wave and Quantum Electronics Division

More information

- 2 -

- 2 - - 1 - - 2 - 전기자동차충전기기술기준 ( 안 ) - 3 - 1 3 1-1 3 1-2 (AC) 26 1-3 (DC) 31 2 37 3 40-4 - 1 14, 10,, 2 3. 1-1 1. (scope) 600 V (IEC 60038) 500 V. (EV : Electric Vehicle) (PHEV : Plug-in Hybrid EV).. 2. (normative

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

<32332DB9DDB5B5C3BCB9D7C5EBBDC5BCD2C0DA28C0CCC7D1BFAD292E687770>

<32332DB9DDB5B5C3BCB9D7C5EBBDC5BCD2C0DA28C0CCC7D1BFAD292E687770> Journal of the Korea Institute of Information and Communication Engineering 한국정보통신학회논문지 (J. Korea Inst. Inf. Commun. Eng.) Vol. 17, No. 10 : 2395~2402, Oct. 2013 40MHz 의대역폭과개선된선형성을가지는 Active-RC Channel

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 12-13 주차강의자료 학습목표 A/D 변환기의제어방법을이해한다 능숙하게 A/D 변환기를제어할수있도록반복실습한다 2/28 아날로그 - 디지털변환회로 아날로그 - 디지털변환회로 (A/D 변환회로 ) 는, 아날로그전기신호를디지털전기신호로변환하는전자회로이다 A/D 컨버터 (ADC: Analog-to-digital converter) 라고도불린다

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

<30312D D3035B3EDB9AE5FB5BFBCADB4EB5FC0CCC3A2BFB55FBCF6C1A4BABB5FBFCFB7E12E687770>

<30312D D3035B3EDB9AE5FB5BFBCADB4EB5FC0CCC3A2BFB55FBCF6C1A4BABB5FBFCFB7E12E687770> 3G, 4G LTE 환경에적합한 http://dx.doi.org/10.13067/jkiecs.2014.9.9.1027 0.11μm CMOS 저전력, 광대역의저잡음증폭기설계 3G, 4G LTE 환경에적합한 0.11μm CMOS 저전력, 광대역의저잡음증폭기설계 송재열 * 이경훈 * 박성모 ** 0.11μm CMOS Low Power Broadband LNA design

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

<B3EBC6AE322E687770>

<B3EBC6AE322E687770> 특허등록건수 120000 100000 80000 60000 40000 20000 0 63 66 69 72 75 78 81 84 87 90 93 96 99 180000 160000 140000 120000 100000 80000 60000 40000 20000 0 특허출원건수 내 국 인 에 의 한 특 허 등 록 건 수 내 국 인 에 의 한 특 허 출 원 4000

More information

Slide 1

Slide 1 Linear Technology Corporation Power Seminar LDO 2016. 10. 12. LTC Korea 영업강전도부장 010-8168-6852 jdkang@linear.com 기술박종만차장 010-2390-2843 jmpark@linear.com LDO 목차 1) LDO feedback 동작원리, 2) LDO 종류 3) LDO 특성

More information

韓國電磁波學會論文誌第 20 卷第 12 號 2009 年 12 月. 1970, cm., RF, [1],[2]. (CW: Continuous-Wave), [2]. GHz,., Ka- [3] 5.8 GHz [4], 2.4 GHz [5]. 2.4 GHz ISM (Industri

韓國電磁波學會論文誌第 20 卷第 12 號 2009 年 12 月. 1970, cm., RF, [1],[2]. (CW: Continuous-Wave), [2]. GHz,., Ka- [3] 5.8 GHz [4], 2.4 GHz [5]. 2.4 GHz ISM (Industri 韓國電磁波學會論文誌第 20 卷第 12 號 2009 年 12 月論文 2009-20-12-11 PLL 2.4 GHz A 2.4 GHz Bio-Radar System with Small Size and Improved Noise Performance Using Single Circular-Polarized Antenna and PLL 장병준 박재형 육종관 문준호

More information

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti ATSC a), a) A Carrier Frequency Synchronization Scheme for modified ATSC Systems Young Gon Jeon a) and Joon Tae Kim a) 3D HDTV (3-Dimensional High Definition Television). 3D HDTV HDTV ATSC (Advanced Television

More information

Microsoft PowerPoint - 3. BJT

Microsoft PowerPoint - 3. BJT BJT (Bipolar Junction Transistor) BJT 의구조및동작모드 BJT 의구조및동작모드 실제 BJT 는그림 3-1(a) 와같이이미터영역과컬렉터영역의기하학적구조가다르며, 세영역의도핑농도도각기다르게만들어진다. 도핑농도 : ( 이미터 )>( 베이스 )>( 컬렉터 ) 이미터 : 전류운반캐리어 ( 전자또는정공 ) 를제공 컬렉터 : 베이스영역을지나온캐리어가모이는영역

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information