<BDC5BCBAC0E5B5BFB7C2C0E5BAF120B0B3B9DFB7CEB5E5B8CA2D382E687770>

Size: px
Start display at page:

Download "<BDC5BCBAC0E5B5BFB7C2C0E5BAF120B0B3B9DFB7CEB5E5B8CA2D382E687770>"

Transcription

1

2

3 목 차 신성장동력장비 개발로드맵 C O N T E N T S 개 요 ⅰ 1. 추진 배경 및 목적 ⅲ 2. 추진 경위 ⅳ 3. 추진 방향 ⅴ 4. 로드맵의 구성 ⅵ 5. 전략품목 목록 ⅶ 참여전문가 ⅹ 1 반도체장비 개발로드맵 제1장 반도체장비 개발로드맵 개요 3 1. 반도체장비의 개념 3 2. 반도체장비 개발로드맵 작성 범위 8 제2장 반도체장비 산업환경 분석 9 1. 관련 제품/서비스산업(반도체산업) 동향 분석 9 2. 신성장동력 전략지도 분석 반도체장비 산업동향 분석 반도체장비 산업구조 분석 33 1

4 신성장동력장비 개발로드맵 제3장 반도체장비 개발전략 SWOT 분석 및 당면현안 반도체장비산업의 발전 비전 반도체장비 전략품목 도출 41 제4장 반도체장비 개발로드맵 MI 장비 분야 테스터 분야 조립 장비 분야 전략품목별 세부 개발전략 69 2 디스플레이장비 개발로드맵 제1장 디스플레이장비 개발로드맵 개요 디스플레이장비의 개념 디스플레이장비 개발로드맵 작성 범위 82 제2장 디스플레이장비 산업환경 분석 관련 제품/서비스산업 동향 분석 신성장동력 전략지도 분석 디스플레이장비 산업동향 분석 디스플레이장비 산업구조 분석 106 제3장 디스플레이장비 개발전략 SWOT 분석 및 당면현안 디스플레이장비산업의 발전 비전 디스플레이장비 전략품목 도출 114 2

5 목 차 제4장 디스플레이장비 개발로드맵 마크로 로드맵 마이크로 로드맵 전략품목별 세부 개발전략 LED장비 개발로드맵 제1장 LED장비 개발로드맵 개요 LED장비의 개념 LED장비 개발로드맵 작성 범위 136 제2장 LED장비 산업환경 분석 관련 제품/서비스산업 동향 분석 신성장동력 전략지도 분석(LED응용) LED장비 산업동향 분석 LED장비 산업구조 분석 146 제3장 LED장비 개발전략 SWOT 분석 및 당면현안 LED장비산업의 발전 비전 LED장비 전략품목 도출 154 제4장 LED장비 개발로드맵 마크로 로드맵 마이크로 로드맵 전략품목별 세부 개발전략 159 3

6 신성장동력장비 개발로드맵 4 그린수송장비 개발로드맵 제1장 그린수송장비 개발로드맵 개요 그린수송장비의 개념 그린수송장비 개발로드맵 작성 범위 179 제2장 그린수송장비 산업환경 분석 관련 제품/서비스산업 동향 분석 신성장동력 전략지도 분석 그린수송장비 산업동향 분석 그린수송장비 산업구조 분석 207 제3장 그린수송장비 개발전략 SWOT 분석 및 당면현안 그린수송장비산업의 발전 비전 그린수송장비 전략품목 도출 216 제4장 그린수송장비 개발로드맵 마크로 로드맵 마이크로 로드맵 전략품목별 세부 개발전략 바이오장비 개발로드맵 제1장 바이오장비 개발로드맵 개요 바이오장비의 개념 바이오장비 개발로드맵 작성 범위 251 4

7 목 차 제2장 바이오장비 산업환경 분석 관련 제품/서비스산업 동향 분석 신성장동력 전략지도 분석 바이오장비 산업동향 분석 바이오장비 산업구조 분석 266 제3장 바이오장비 개발전략 SWOT 분석 및 당면현안 바이오장비산업의 발전 비전 바이오장비 전략품목 도출 279 제4장 바이오장비 개발로드맵 마크로 로드맵 마이크로 로드맵 전략품목별 세부 개발전략 의료장비 개발로드맵 제1장 의료장비 개발로드맵 개요 의료장비의 개념 의료장비 개발로드맵 작성 범위 310 제2장 의료장비 산업환경 분석 관련 제품/서비스산업 동향 분석 신성장동력 전략지도 분석 의료장비 산업동향 분석 의료장비 산업구조 분석 318 5

8 신성장동력장비 개발로드맵 제3장 의료장비 개발전략 SWOT 분석 및 당면현안 의료장비산업의 발전 비전 의료장비 전략품목 도출 324 제4장 의료장비 개발로드맵 마크로 로드맵 마이크로 로드맵 전략품목별 세부 개발전략 방송장비 개발로드맵 제1장 방송장비 개발로드맵 개요 방송장비의 개념 방송장비 개발로드맵 작성 범위 347 제2장 방송장비 산업환경 분석 관련 제품/서비스산업 동향 분석 신성장동력 전략지도 분석 방송장비 산업동향 분석 방송장비 산업구조 분석 375 제3장 방송장비 개발전략 SWOT 분석 및 당면현안 방송장비산업의 발전 비전 방송장비 전략품목 도출 383 6

9 목 차 제4장 방송장비 개발로드맵 마크로 로드맵 마이크로 로드맵 전략품목별 세부 개발전략 398 7

10 신성장동력장비 개발로드맵 표 목 차 <표 1-1> 반도체 주요 장비 및 기능 3 <표 1-2> 반도체장비의 주요 부분품 4 <표 1-3> 반도체생산단계 6 <표 1-4> 디바이스별 반도체시장 전망 9 <표 1-5> 세계반도체 30대 기업 10 <표 1-6> 반도체장비 Top 기업현황 13 <표 1-7> 지역별 장비시장 현황 13 <표 1-8> 품목별 세계 장비시장 규모 14 <표 1-9> 국내 반도체장비 산업현황 15 <표 1-10> 정부지원 사업 이력 28 <표 1-11> 반도체장비산업 위상 변화 29 <표 1-12> 반도체장비의 국내기업 및 인력 현황 34 <표 1-13> 반도체산업의 인력 현황 34 <표 1-14> 반도체산업의 인력 수급전망 35 <표 1-15> 주요 반도체장비기업 현황 35 <표 1-16> 국내 반도체장비기업의 글로벌 순위 36 <표 1-17> 주요 장비별 국내 기술수준 37 <표 1-18> 반도체 주요 장비 및 지원 현황 41 <표 1-19> 박막 두께(film thickness) 측정 장비의 마이크로 로드맵 46 <표 1-20> CD 측정 장비의 마이크로 로드맵 47 <표 1-21> Pattern profile(ocd) 측정 장비의 마이크로 로드맵 47 <표 1-22> 분석 장비(X-Ray 장비군)의 마이크로 로드맵 48 <표 1-22> 분석 장비(Rs 및 Raman Spectroscopy 장비)의 마이크로 로드맵 48 <표 1-23> Bright Field 검사 장비의 마이크로 로드맵 51 <표 1-24> Dark Field 검사 장비(high-end)의 마이크로 로드맵 51 <표 1-25> Dark Field 검사 장비(low-end)의 마이크로 로드맵 51 <표 1-26> 전자빔 검사 장비의 마이크로 로드맵 52 8

11 표 목차 <표 1-27> Particle Counter 장비의 마이크로 로드맵 52 <표 1-28> TSV contact monitoring Tool 52 <표 1-29> CD 측정 장비의 마이크로 로드맵 54 <표 1-30> Pattern Registration 측정 장비의 마이크로 로드맵 54 <표 1-31> Mask pattern 검사장비의 마이크로 로드맵(Aerial) 56 <표 1-32> Mask substrate 검사장비의 마이크로 로드맵 56 <표 1-33> Fusion Inspection 검사장비(CDU)의 마이크로 로드맵 57 <표 1-33> 매크로/마이크로 로드맵 웨이퍼 테스터 57 <표 1-34> 매크로/마이크로 로드맵 패키지 테스터 58 <표 1-35> 매크로/마이크로 로드맵 시스템IC 테스터 59 <표 1-36> Back Grinding 61 <표 1-37> Dicing Saw 62 <표 1-38> Bonding 63 <표 1-39> Flipchip Bonding 63 <표 1-40> Adhesive 64 <표 1-41> Solder 64 <표 1-42> Wire 65 <표 1-43> Mold 66 <표 1-44> Singulation 66 <표 1-45> Ball Attatch 67 <표 1-46> 3D TSV Level 68 <표 2-1> 세계 디스플레이 시장규모 84 <표 2-2> 평판디스플레이 수급현황 및 전망 84 <표 2-3> TFT-LCD 라인 세대별 투자비용 87 <표 2-4> 디스플레이 장비산업의 세계시장 규모(전망포함) 88 <표 2-5> 디스플레이 장비산업의 국내 시장 규모 89 <표 2-6> 해외 주요국의 기술개발 현황 95 <표 2-7> 임프린트 장비 국내 개발현황 97 <표 2-8> Scriber 장비개발 현황 99 <표 2-9> 국내 기술개발 현황 101 <표 2-10> 디스플레이 장비관련 정부지원사업 현황 105 9

12 신성장동력장비 개발로드맵 <표 2-11> 국내 기업 및 인력 현황 106 <표 2-12> 주요 장비기업 현황 106 <표 2-13> TFT-LCD 장비별 기술력 분석 108 <표 2-14> 지원대상 후보군 115 <표 2-15> 디스플레이 장비 전략품목 120 <표 3-1> LED 융합분야별 발전전망 138 <표 3-2> 신성장동력산업 연관분야 138 <표 3-3> 세계 LED장비시장 전망 140 <표 3-4> 국내 LED장비 시장 전망 141 <표 3-5> 해외 LED 장비기술 개발 현황 142 <표 3-6> 국내 기술개발 현황 143 <표 3-7> 세계각국의 LED 주요정책 현황 144 <표 3-8> LED 장비기업 및 인력 현황 146 <표 3-9> 국내 LED 장비기업 현황 146 <표 3-10> 국내 LED 장비산업 비전목표 150 <표 3-11> LED장비의 국내 시장 규모(정부지원에 따른 전망) 150 <표 3-12> 기술개발 방식 151 <표 3-13> LED 생산장비 포트폴리오 분석 범례 155 <표 3-14> LED장비 전략품목 156 <표 3-15> LED장비 기술개발 마크로 로드맵 157 <표 4-1> 그린수송장비 관련 제품분야 시장규모 180 <표 4-2> 그린수송장비산업의 세계시장 규모(전망포함) 190 <표 4-3> 그린수송장비 Global Top 7 매출현황('10) 191 <표 4-4> 그린수송장비산업의 국내 시장 규모 192 <표 4-5> 그린수송장비 점유율 및 국산화율 193 <표 4-6> 그린수송장비산업의 국내 시장 규모 193 <표 4-7> 그린수송장비 점유율 및 국산화율 193 <표 4-8> 해외 주요국의 기술개발 현황 197 <표 4-9> 국내 기술개발 현황 199 <표 4-10> 핵심기술의 로드맵 202 <표 4-11> 주요 단위기계별 로드맵

13 표 목차 <표 4-12> 국내 기업 및 인력 현황 207 <표 4-13> 주요 장비기업 현황('10년 기준) 208 <표 4-14> 공작기계산업의 산업구조 211 <표 4-15> 공정/기능별 대상장비 도출(그린카용 생산장비) 217 <표 4-16> 대상장비별 기능(그린카용 생산장비) 218 <표 4-17> 공정/기능별 대상장비 도출(고부가선박용 생산장비) 219 <표 4-18> 대상장비별 기능(고부가선박용 생산장비) 220 <표 4-19> 자동차용 생산장비 포트폴리오 분석 범례 224 <표 4-20> 선박용 생산장비 포트폴리오 분석 범례 225 <표 4-21> 도출된 그린수송장비의 18개 전략품목 226 <표 5-1> 바이오 장비산업의 세계시장 규모(전망포함) 254 <표 5-2> 바이오장비산업의 국내 시장 동향 및 전망 256 <표 5-3> 바이오 장비산업의 국내 시장 규모(정부지원이 없을 경우) 257 <표 5-4> 해외 주요국의 기술개발 현황 258 <표 5-5> 국내 기술개발 현황 262 <표 5-6> 바이오 이미징 시스템의 국내 개발 및 판매회사 현황 265 <표 5-7> 국내 기업 및 인력 현황 266 <표 5-8> 주요 장비기업 현황 267 <표 5-9> 공정/기능별 주요 장비 279 <표 5-10> 포트폴리오 분석 280 <표 5-11> 바이오 장비 포트폴리오 분석 범례 281 <표 5-12> 바이오 장비 전략품목 289 <표 6-1> 의료장비산업의 세계시장 규모(전망포함) 313 <표 6-2> 의료장비산업의 국내 시장 규모 314 <표 6-3> 해외 주요국의 기술개발 현황 315 <표 6-4> 국내 기술개발 현황 316 <표 6-5> 국내 기업 및 인력 현황 319 <표 6-6> 주요 장비기업 현황 319 <표 6-7> 공정/기능별 주요 장비 324 <표 6-8> 의료장비 전략품목 326 <표 7-1> 세계 3DTV 시장 전망

14 신성장동력장비 개발로드맵 <표 7-2> 세계 UHDTV 시장 전망 351 <표 7-3> 분야별 방송장비 주요 사업자 및 시장 점유율 현황 353 <표 7-4> 방송장비 세계시장 규모 354 <표 7-5> 각국의 디지털 전환 일정 354 <표 7-6> 실감방송 관련 주요 업체들의 개발 동향 354 <표 7-7> 전세계 지역별 셋톱박스 매출액 규모 356 <표 7-8> 국내 방송장비 시장 전망(정부지원이 없을 경우) 357 <표 7-9> 방송장비산업의 국내 시장 전망 358 <표 7-10> 방송설비의 디지털전환 추진계획(안)(지상파방송사) 358 <표 7-11> 방송사와 비방송사의 방송장비 국산화율 전망 358 <표 7-12> 셋톱박스 국내 생산액 추이 359 <표 7-13> 국내 플랫폼별 STB 매출액 규모 359 <표 7-14> 해외 주요국의 제작장비 기술개발 현황 362 <표 7-15> 해외 주요국의 송출장비 기술개발 현황 363 <표 7-16> 해외 주요국의 송신장비 기술개발 현황 364 <표 7-17> 국내 제작장비 기술개발 현황 365 <표 7-18> 국내 송출장비 기술개발 현황 366 <표 7-19> 국내 송신장비 기술개발 현황 367 <표 7-20> 3D 표준화 관련 현황 373 <표 7-21> 모바일 방송 표준화 관련 현황 375 <표 7-22> 국내 기업 및 인력 현황 375 <표 7-23> 주요 장비기업 현황 376 <표 7-24> 공정/기능별 주요 장비 384 <표 7-25> 제작 및 편집분야 포트폴리오 분석 범례 389 <표 7-26> 송출분야 포트폴리오 분석 범례 391 <표 7-27> 송신분야 포트폴리오 분석 범례 392 <표 7-28> 방송장비 전략품목

15 그림 목차 그 림 목 차 <그림 1-1> 반도체기술 패러다임의 변화 추이 5 <그림 1-2> 반도체 산업에 응용되는 재료의 다양화 추세 6 <그림 1-3> 반도체제조기술 기반의 파생산업 현황 7 <그림 1-4> 세계 반도체시장 전망 9 <그림 1-5> 연도별 반도체 장비 투자액 12 <그림 1-6> 미세화 전망(ITRS 2007) 16 <그림 1-7> Lithography 기술의 발전방향 17 <그림 1-8> 미세화에 따른 Front-end 기술의 발전방향 18 <그림 1-9> 반도체 후공정 장비 시장 전망 26 <그림 1-10> SEMI의 지역사무소 및 활동분야 32 <그림 1-11> 반도체산업 계열화 구조 34 <그림 1-12> 글로벌 Top 10 장비기업과 국내 Top 10 장비기업의 비교 36 <그림 1-13> 반도체 산업 가치사슬 및 우리기업 분포 37 <그림 1-14> 반도체 부분품 소재 평가인증시스템 개념 40 <그림 1-15> Interconnect Trends 60 <그림 2-1> 32" LCD 패널가격 추이 82 <그림 2-2> 42" LCD 패널가격 추이 82 <그림 2-3> OLED 및 플렉서블 디스플레이 시장전망 83 <그림 2-4> FPD산업의 전후방 효과 85 <그림 2-5> LCD산업의 크리스탈 사이클 85 <그림 2-6> 디스플레이 산업의 연관효과 87 <그림 2-7> 공정별 전세계 TFT-LCD장비시장 전망(비중추이) 88 <그림 2-8> Mask Projection 노광기 90 <그림 2-9> 임프린트 장비 개념도 91 <그림 2-10> 더블암형 로봇(DOUBLE ARM ROBOT)_출원번호( ) 91 <그림 2-11> 초대면적 기판 다단 Wet 공정장비 개념도 92 <그림 2-12> 국가별 플렉서블 디스플레이 연구거점 94 13

16 신성장동력장비 개발로드맵 <그림 2-13> Dispenser관련 특허보유 현황 98 <그림 2-14> 진공합착기관련 특허보유 현황 98 <그림 2-15> 디스플레이 발전방향 104 <그림 2-16> Ex. Roll-to-Roll 기술개요도 104 <그림 2-17> 가치사슬 체계도 107 <그림 2-18> 우리나라의 세계수출 1위 품목수 변화 109 <그림 2-19> 패널기업 Operating Margin 110 <그림 2-20> 차세대 디스플레이 발전방향 110 <그림 2-21> 포트폴리오 분석 결과 117 <그림 2-22> LDP장비 공정축소 개요 118 <그림 2-23> Mask 장력 Flow 119 <그림 2-24> Universial Repair 기술개요 119 <그림 3-1> LED 제조공정 및 관련 장비 135 <그림 3-2> LED용 기판성장 및 에피성장 장비 현황 135 <그림 3-3> LED칩 전공정 및 후공정 장비 현황 136 <그림 3-4> LED 패키징 공정장비 현황 136 <그림 3-5> LED 산업 시장전망 137 <그림 3-6> LED소자 및 장비 시장 전망 139 <그림 3-7> 국가 및 세계 MOCVD 도입 현황 140 <그림 3-8> LED 장비 가치사슬 체계도 147 <그림 3-9> LED제조 공정/기능별 주요 장비 154 <그림 3-10> LED 생산장비의 포트폴리오 분석 결과 155 <그림 4-1> 그린카 로드맵 175 <그림 4-2> 고부가가치 선박 로드맵 175 <그림 4-3> 그린카 대응 정밀 기계부품의 제조공정 176 <그림 4-4> 첨단선박용 대형엔진 제조 공정 178 <그림 4-5> 세계자동차 시장현황 181 <그림 4-6> 세계의 자동차시장 전망 182 <그림 4-7> 세계의 조선시장 현황 183 <그림 4-8> 그린카 가치사슬 분석 184 <그림 4-9> WISE Ship 가치사슬 분석

17 그림 목차 <그림 4-10> 그린수송장비(공작기계) 분야 국가별 시장전략 187 <그림 4-11> 저속엔진 제조사 글로벌 점유율(매출액기준) 189 <그림 4-12> IT융합 가공장비의 2015 비전 203 <그림 4-13> IT융합가공장비 로드맵 204 <그림 4-14> 2010년 생산시스템 산업기술로드맵 - 공작기계분야 발췌 205 <그림 4-15> 그린수송장비 글로벌 산업 Value Chain 209 <그림 4-16> 국내 그린수송장비 생산 및 기업구성도 210 <그림 4-17> 그린수송장비의 당면 현안 및 대응전략 213 <그림 4-18> 그린수송장비 2020 비전 214 <그림 4-19> 자동차용 생산장비의 포트폴리오 분석 결과 223 <그림 4-20> 선박용 생산장비의 포트폴리오 분석 결과 225 <그림 5-1> 바이오 장비의 분류 251 <그림 5-2> 2010년 세계 바이오산업 예상 시장규모 254 <그림 5-3> 바이오장비산업의 세계 시장 동향 및 전망 255 <그림 5-4> 가치사슬 체계도 273 <그림 5-5> 포트폴리오 분석 결과 도시 281 <그림 6-1> 의료장비 시장의 트랜드 307 <그림 6-2> 의료장비 제조 공정 309 <그림 6-3> 가치사슬 체계도 320 <그림 6-4> 포트폴리오 분석 결과 325 <그림 7-1> 방송산업 개요 345 <그림 7-2> 방송장비산업 구분 346 <그림 7-3> 디지털방송 기술발전 전망 350 <그림 7-4> 가치사슬 체계도 377 <그림 7-5> 단계별 방송장비 383 <그림 7-6> 제작 및 편집분야 포트폴리오 분석 389 <그림 7-7> 송출분야 포트폴리오 분석 391 <그림 7-8> 송신분야 포트폴리오 분석

18

19 신성장동력장비 개발로드맵 개 요

20

21 개 요 1 추진 배경 및 목적 우리나라 미래 먹거리인 신성장동력산업의 지속가능한 발전을 위해서는 제품과 장비의 병행 육성이 필요 그동안의 육성책으로 완제품, 부품소재는 글로벌 경쟁력을 확보*했으나 장비는 기술 력 및 국산화율 미흡** * 완제품 : 조선 1위, 반도체 3위, 부품소재 : ('01)70 ('07)87 (미국 : 100) ** 신성장동력 장비기술력 : 56(미국 : 100), 국산화율 : 35%('08) 핵심장비의 선진국 의존으로 제품산업 성장에 비례해 장비수입이 급증하는 성장의 딜레마 발생 신성장동력산업의 균형발전을 위해 자립화가 미약한 장비산업을 육성하는 신성장 동력장비경쟁력강화사업 확정 신성장동력장비*산업 육성전략 ('09.8) 수립과 실태조사를 거쳐 예비타당성조사에서 지원 타당성 인정(5년, 8,230억원) * 7대 장비 : 반도체, 디스플레이, LED, 그린수송, 바이오, 의료, 방송장비 '11년 신규사업 확정(240억원)으로 7대 장비 상용화 기술개발과 공통핵심 기술개발 추진 신성장동력장비경쟁력강화사업 의 효과적인 사업 추진을 위해 체계적 개발로드맵 작성 '12년 사업부터 신규과제 도출 과정에 로드맵에서 top-down으로 50% 이상 반영 전략적 사업추진, 사업 효율성 제고, 정책적 일관성 확보 가능 iii

22 신성장동력장비 개발로드맵 2 추진 경위 신성장동력장비개발로드맵 총괄 TF 와 7대 장비별 소위원회 구성('11.6) 총괄TF는 로드맵 수립 총괄 조정 역할을 수행하고, 지경부 성장동력정책과 담당관, 분야별 간사, 신성장동력장비연구단 * 으로 구성 * 신성장동력장비경쟁력강화사업의 정책기획 업무 수행(한국기계연구원) 소위원회는 7대 장비별 로드맵을 작성하고, 지경부 담당과 사무관, 해당분야 PD, 간사 *, 산학연 전문가 등 10인 내외로 구성 * 장비분야별 전문기관으로 한국반도체연구조합(반도체장비), 한국디스플레이산업협회(디스플레이장비), 한국 광기술원(LED장비), 한국생산기술연구원(그린수송장비), 한국화학연구원(바이오장비), 한국전기연구원(의료장비), 한국전자정보통신산업진흥회(방송장비) 참여 <로드맵 기획 추진체계> 소위원회별 기획 회의, 중간점검 워크샵(' )을 거쳐 로드맵 작성('11.12.) iv

23 개 요 3 추진 방향 실질적인 경제적 효과를 창출하기 위해 상용화 중심의 R&D 전략을 수립 기술개발 중심이 아니라 장비 상용화 중심으로 작성 수요기업 참여로 국내기업의 투자계획에 적극 대응하고, 이와 함께 해외진출을 위한 전략품목도 함께 도출 신성장동력 전략지도와의 연계를 통해 정책지원 효과 제고 신성장동력 전략지도 분석에 기반한 개발 아이템 도출을 통해 신성장동력산업 발전 정책에 부응 기존에 수립한 분야별 장비개발 계획과 연계 추진을 통해 정책적 일관성 확보 신성장동력장비 협력 네트워크 강화 7대 장비 분야별 전문기관을 간사로 참여시켜 분야별 로드맵의 전문성을 확보하고 총괄TF를 통해 협력 전체 분야가 참가하는 중간점검 워크샵을 통해 장비 분야간 교류 v

24 신성장동력장비 개발로드맵 4 로드맵의 구성 7대 장비별 로드맵은 산업환경분석 장비개발전략 장비개발로드맵 수립 과정을 통해 전략품목을 도출하고 개발 이정표를 제시 수립 단계 산업환경 분석 장비개발 전략 장비개발로드맵 주요 내용 신성장동력 전략지도, 제품산업 글로벌 마켓 트렌드, 분야별 장비개발 계획 검토 국내외 시장동향, 기술동향, 정책동향 등 산업동향 분석 가치사슬을 통한 산업구조 분석 SWOT 분석으로 대응 전략 도출 분야별 발전 비전 및 목표 제시 기능별/공정별 주요장비 파악 포트폴리오 분석으로 우선 개발 대상 전략품목 선정 분야별 장비개발로드맵 수립 전략품목별 세부 개발전략 수립 산업동향, SWOT 분석, 비전 및 목표, 전략품목, 개발로드맵, 품목별 세부 개발 전략 등 폭넓은 내용을 담고 있으며, 분야별 특성에 따라 적합한 형태로 작성 vi

25 개 요 5 전략품목 목록 분 야 전 략 품 목 반도체장비 Dark Field Inspection Tool TSV Contact Monitoring Tool TSV Auto Vision Inspection 반도체 공정용 Optical CD 측정장비 800Mbps 고속 플래시메모리 테스터 200Mhz CIS tester DRAM/Flash 메모리 웨이퍼 테스터 4.5Gbps DRAM 테스터 Vacuum In-Line Printer Fluxless Reflow Chip to Wafer Bonder Wafer Mold System 디스플레이장비 LCD 기판다단 Wet 공정장비 Laser Direct Patterning 장비 LCD TFT Array Tester 8세대급 OLED FMA 및 장력기 개발 LCD/OLED 검사 및 Repair 통합장비 LED장비 형광체 Conformal coating 장비 고온, 고압 MOCVD 리액터 LED TCO용 고품위 증착장비 열특성 평가 장비 실시간 Epi Layer 평가 장비 Die/Wire Bonder 패키지프레임 다이싱 M/C 웨이퍼 레벨 양자효율 측정장비 칩스케일 웨이퍼레벨 검사장비 대구경 LED Wafer Bonder 대형 LED 광원 가속수명 시험장치 vii

26 신성장동력장비 개발로드맵 분 야 전 략 품 목 그린수송장비 수직형 라인센터(VMC) 수평형 라인센터(HMC) FTL 컴팩트 라인센터 복합 터닝센터 멀티헤드/터렛 고유연 가공기 복합 다기능 가공기(Mill-Turn Center) 대용량공구/다수팔렛 5축 가공기 헤드틸팅형 5축 가공기 그린카용 초고속 권선기 그린카용 고정밀 기어 가공기 캠샤프트용 멀티그라인더 경량/난삭재 가공용 하드터닝 머신 초대형 플로워타입 보링 머신 20m급 초대형 플라노밀러(엔진블럭 가공장비) 실린더라이너 가공기 250톤급 초대형 수평 선반 중형 크랭크샤프트 연삭시스템 초대형 프로펠러 익면 5축가공기 대형 기어 연삭기 바이오장비 고성능 바이오 액체 크로마토그래프 시스템 Living Cell imaging system 라만검색기 융합바이오 이미징 장비 바이오 멸균기 DNA자동합성기 Cell manipulation 형광분석기 무인자동세포배양시스템 전자현미경 초고속 원심분리 장비 생물시료 제작장비 차세대 DNA 시퀀서 바이오 어레이어 바이오 스캐너 viii

27 개 요 분 야 전 략 품 목 의료장비 방송장비 이동형 CT 분자영상 융합장비(PET-CT/MR) Tomo-광음향 융합 영상장비 볼륨형 나선식 CBCT SPECT-CT 융합영상장비(Single Photon Emission Tomography / Computed Tomography) 망막세포 3D 영상장비 밀리미터파 영상장비 초음파 유도 HIFU 방사선 치료용 Couch 가상수술 네비게이터 구리-증기 레이저 수술기 자동 생화학/혈액분석기 Cyclotron(동위원소 발생장치) 방사성 동위원소 합성장치 지상파 방송 다채널 서비스 플랫폼 및 가변 채널 수신 모니터링장비 케이블방송 교환형 디지털 비디오(SDV) 송/수신 시스템 휴대형 방송 신호 저장 및 송출장치 (디지털 TV, 모바일 TV, 디지털 라디오, 아날로그 TV, FM) S3D(3G)Slowmotion Camera Lens(60f ~ 240f) S3D(3G) Digital Audio Monitor S3D(3G) Recoder & Player S3D(3G) Audio Mux & De-mux S3D(3G) CG S3D(3G) Potable저장장치 S3D(3G) Stereoscopic converter HD 방송 무선 중계기 HD 방송 광 중계기 HD방송녹화 DVR(Digital Video Recorder) / LTE전송 클라우드 기반의 모바일 미디어 콘텐츠 전송장비 최신 오디오 부호화 기술(USAC) 기반 지상파 디지털 라디오 전송 기기 및 수신 검증 플랫폼 ix

28 신성장동력장비 개발로드맵 참여전문가 총괄 TF 이 름 소 속 직 위 비 고 전재민 한국반도체연구조합 과장 반도체장비 이상진 한국디스플레이산업협회 팀장 디스플레이장비 백종협 한국광기술원 본부장 LED장비 이석우 한국생산기술연구원 수석 그린수송장비 서영덕 한국화학연구원 센터장 바이오장비 이태범 한국전기연구원 선임 의료장비 이재갑 한국전자정보통신산업진흥회 과장 방송장비 최병익 한국기계연구원 단장 장비연구단 심영렬 한국기계연구원 책임 장비연구단 이운규 한국기계연구원 선임 장비연구단 박천홍 한국기계연구원 책임 장비연구단 이대길 지식경제부 사무관 김파라 지식경제부 사무관 반도체분야 이 름 소 속 직 위 비 고 김구성 강남대학교 교수 김호섭 선문대학교 교수 박춘선 하이닉스반도체 부장 송준엽 한국기계연구원 수석 안재우 삼성전자 부장 이원준 세종대학교 교수 위원장 전재민 한국반도체연구조합 과장 간사 정종완 세종대학교 교수 주세형 지식경제부 사무관 한태희 KEIT PD x

29 개 요 디스플레이분야 이 름 소 속 직 위 비 고 김민수 삼성전자 수석 김범재 삼성모바일디스플레이 책임 문대규 KEIT PD 양남열 LG전자 수석 염근영 성균관대학교 교수 위원장 오정석 한국기계연구원 책임 이상진 한국디스플레이산업협회 팀장 간사 이유진 지식경제부 사무관 이종철 LG디스플레이 선임 최상진 삼성전자 생산기술연구소 수석 최희환 한국항공대학교 교수 LED분야 이 름 소 속 직 위 비 고 권광우 서울반도체 연구위원 김선희 한국광산업진흥회 팀장 김태훈 루미마이크로 연구소장 노동욱 주성 부사장 문영부 더리즈 이사 박성림 광전자정밀 대표 백종협 한국광기술원 본부장 간사 심종인 한양대학교 교수 위원장 오대곤 KEIT PD 이상철 AP-Tech 실장 이충렬 지식경제부 주무관 이형권 QMC 연구소장 주관종 한텍 전무 최희석 LG이노텍 연구위원 현승민 한국기계연구원 책임 xi

30 신성장동력장비 개발로드맵 그린수송분야 이 름 소 속 직 위 비 고 김수진 경상대학교 교수 남성호 한국생산기술연구원 수석 민병권 연세대학교 교수 박천홍 한국기계연구원 책임 위원장 박희철 한국공작기계공업협회 본부장 신흥철 기흥기계 이사 유재호 지식경제부 사무관 이석우 한국생산기술연구원 수석 간사 조용주 한국정밀기계 이사 지성철 단국대학교 교수 하재용 두산인프라코어 상무 한규태 현대위아 수석 바이오분야 이 름 소 속 직 위 비 고 김남일 바이오니아 이사 김민곤 광주과학기술원 부교수 위원장 김수현 삼성종합기술원 팀장 민준홍 경원대학교 부교수 박경문 KEIT PD 서영덕 한국화학연구원 센터장 간사 이상원 고려대학교 부교수 정연철 로고스바이오텍 사장 최수형 지식경제부 사무관 한방우 한국기계연구원 책임 xii

31 개 요 의료분야 이 름 소 속 직 위 비 고 김경미 지식경제부 사무관 김서확 서울아산병원 수석 김희중 연세대학교(원주) 교수 노성찬 세브란스병원 부장 박호준 한국산업기술시험원 책임 서석완 대한병원협회 보험국장 송재훈 서울성모병원 수석(과장) 신 철 고려대학교 교수 안병철 한국의료기기공업협동조합 부장 유재민 분당서울대학교병원 파트장 이태범 한국전기연구원 선임 간사 조영호 국립암센터 연구과장 위원장 최동일 삼성서울병원 교수 허 영 한국산업기술평가관리원 PD 방송분야 이 름 소 속 직 위 비 고 김동호 서울과학기술대학교 교수 박광만 전자통신연구원 책임 박선규 KBS 부단장 박현제 KEIT PD 백종호 서울여자대학교 교수 위원장 신현명 서울텍 대표 이경택 전자부품연구원 센터장 이상운 남서울대학교 교수 이재갑 한국전자정보통신산업진흥회 과장 간사 정종윤 지식경제부 사무관 xiii

32

33 신성장동력장비 개발로드맵 1 반도체장비 개발로드맵

34

35 1. 반도체장비 개발로드맵 제1장 반도체장비 개발로드맵 개요 1 반도체장비의 개념 1.1 반도체장비 반도체장비 반도체공정은 원재료인 웨이퍼를 개별칩으로 분리하는 시점을 기준으로 前 後 공정, 검사로 구분되며 각 공정별로 전문화된 장비를 활용하고 있음 * 반도체공정은 약 300 step으로 구성되며 단계별 전용장비가 필요 * 장비가격 : 前 공정 20-50억원, 後 공정 5-20억원, 검사 5-25억원 특히, 前 공정은 미세화 기술 등 반도체 칩의 품질을 좌우하는 단계로서 노광기, 증착 기, 식각기 등 매우 높은 기술수준 요구됨 後 공정은 최종적인 칩모습을 형성하는 조립단계로 절단, 금속연결로 구성되며 고집적 화 및 다양한 수요대응 기술 요구됨 검사는 불량을 검출 보완하는 단계로 고속처리 기술이 관건임 前 공 팹 공 정( 정) 後 공 조 정( 립) 공정 검 사 노 광 식 각 증 착 열처리 측정/ 분석 조 립 패키지 <표 1-1> 반도체 주요 장비 및 기능 장비 개요 주요 장비군 기능 외관 Stepper/Scanner Track Etcher Asher CMP CVD PVD Furnace RTP Wafer Inspection Metrology Die Attacher Wire Bonding Molding M/C Laser Marker Burn-in 시스템 Memory Test 빛을 사용하여 웨이퍼위에 회로모양을 그리는 장비 노광에서 그려진 대로 식각을 통하여 모양을 만드는 장비 웨이퍼위에 특정 용도막(산화막, 절연막 등)을 증착하는 장비 열을 이용하여 웨이퍼내 물질을 균질하게 하거나, 증착하는 장비 웨이퍼내의 물질특성(두께, 성분 등)을 분석하는 장비 패턴이 그려진 웨이퍼를 절단 하여 밀봉하기 전까지의 장비 전자제품에 장착하기 위하여 밀봉하는 장비 칩의 불량여부를 판정하는 장비 제1장 반도체장비 개발로드맵 개요 3

36 신성장동력장비 개발로드맵 1.2 반도체장비용 부분품 반도체장비용 부품은 장비별로 차이는 있으나, 약 1만개에 이상의 다양한 부품을 설 계사양에 따라 조립하여 완성하게 됨 * 부 품 : 볼트, 너트 등과 같이 기본적인 조립을 위한 최소 단위품목 * 부분품 : 부품의 조합체로 원형 그대로 제품에 부착되어 장비의 조성부분이 되는 재료 전공정 장비와 조립장비는 기능에 따라 Transfer 모듈, Process 모듈, Sub System 모 듈로 구분됨 검사장비는 기계적 특성보다는 테스트를 위한 전기신호 발생 해석 및 전원 제어 등 이 중요하여, 타 장비군과는 다소 차이가 있음 <표 1-2> 반도체장비의 주요 부분품 구 분 역 할 주요 부분품 Process Module 장비 고유의 공정이 가능하도록 조절, 관리 Heater, Chamber, MFC, Gas Panel, Valve, ESC, EPD, Pump, RF Generator 등 전공정 장비 Transfer Module 공정 대상이 되는 웨이퍼를 최적의 상태로 이송 Vacuum Robot, Backbone 등 Sub system Module 장비의 운영환경을 제공 Power box, Cable assembly, Operating S/W 등 Process Module 패키지 조립 공정 상의 필수적인 기능을 수행 Press, Heater, Vac.pump, Laser, Diamond Blade, Punch, Die 등 조립 장비 Transfer Module 공정과 공정간 Strip 또는 패키지 단품을 이송 Servo motor, Air cylinder, Linear motor, Vac.chuck 등 Sub System Module 장비 운영 및 시스템 제어 PC, PLC, Power cable, Driver, Encoder cable, Operating S/W 등 Main Frame Tester에 필요한 전원 공급 및 관리 Fixed Power, Programmable Power Supply, Power Control Board, Chiller 등 검사 장비 Head Hi-Fix 반도체 평가에 필요한 전기적인 신호발생 및 검증 다양한 평가를 하기위한 칩과 Head간 연결 Pin Electronic Device, PMU, F PGA, Relay, Connector, DC-DC 등 Cable, Connector, test socket 등 Transfer Module 피평가물 배치 및 테스트 결과에 따른 재배치 Handler robot, picker 등 4

37 1. 반도체장비 개발로드맵 1.3 반도체장비의 기술적 위치 반도체 소자의 미세화, 고집적화를 위한 기술개발은 이제 그 물리적 한계에 이른 만 큼 그 한계를 뛰어 넘기 위해서는 반도체 제조 장비 기술이 그 열쇠를 지고 있다고 할 수 있음 반도체 장비 제조는 전자/전기 공학, 광학, 화학, 정밀가공 기술, 기계 설계, 시뮬레이 션 등 다양한 최첨단 기술의 총합으로 가능한 것이므로 광범위한 주변 기술의 동반 발전 없이는 불가능한 종합적이고 파급력이 큰 산업임 넓은 의미에서 반도체장비는 반도체 웨이퍼를 제조, 칩 제조, 조립 및 검사 뿐만 아니 라 반도체 제조장치가 설치되는 Clean-room 및 반도체 공장 전체, 환경제어에 관련 된 각 설비도 포함함 나노기술 시대에 진입하면서, 반도체 제조 기술 개발 속도가 장비 개발 속도를 추월 함으로써, 이제는 제조 공정 및 검사 기술과 더불어 장비도 함께 개발되어야만 반도 체 제조도 가능한 시대로 기술 패러다임이 변화하였음. 즉, 특정한 장비 개발 없이는 반도체 제조가 이루어 질 수 없음 <그림 1-1> 반도체기술 패러다임의 변화 추이 반도체 수요업체의 다양한 요구에 부합하는 박막형 소자를 생산하기 위해 Etcher, CVD, Lithography, 평탄화(CMP), 금속 세정 관련 등 나노급 핵심장비의 기술개발이 가속화되어 업체간에 경쟁이 치열하게 전개되고 있음 최근 후발업체(국내업체 포함)가 꾸준한 기술력 축적 및 지속적인 성장에 위협을 느낀 외국 선진업체들의 특허분쟁 제기 등 후발업체에 대한 견제가 갈수록 심화되고 있음 제1장 반도체장비 개발로드맵 개요 5

38 신성장동력장비 개발로드맵 반도체 소자의 기능이 고도화됨에 따라 이에 소요되는 소재 또한 고기능화가 요구되고 있으며, 새로운 형태의 소자가 개발됨에 따라 새로운 재료의 요구가 대두되고 있음 반도체 소자 제조비용 절감을 위한 Si 웨이퍼의 대구경화(300mm 450mm) 반도체 소자성능 개선을 위해 Si 웨이퍼를 대체할 SOI(Silicon-on-insulator), SiGe 웨이퍼, Strained Si 의 도입 소자크기의 미세화(소자의 고집적화)를 위한 새로운 노광기술(예 : 극자외선 노 광 기술)의 도입으로 인해 새로운 포토마스크 및 포토레지스트가 필요함(65 nm 45 nm 32 nm 22 nm...) PRAM, PoRAM, ReRAM 등 새로운 비휘발성 메모리 소자의 구현을 위해 새로 운 특성의 박막 증착을 위한 신규 전구체 혹은 스퍼터링 타겟이 필요함 <그림 1-2> 반도체 산업에 응용되는 재료의 다양화 추세 1.4 반도체장비산업의 중요성 장비산업은 반도체생산의 필수요소산업으로 반도체제조기술을 선도함 <표 1-3> 반도체생산단계 시설구축(장비구축) 생산기술개발 반도체생산 6

39 1. 반도체장비 개발로드맵 미세화에 따라 초기단계의 장비구축 시기가 더욱 중요해지며, 장비 해외의존이 높 은 국내반도체기업 제조기술 글로벌선도가 불투명함 팹 건설비용이 막대해짐과 동시에, 이중 장비가격의 비중은 55%(150mm)에서 74%(300mm)로 확대되었으며 점차 증대할 전망임 * 팹 건설비 투자규모 추이 : 1억불('80년) 10억불('95년) 25억불('05년) 45억불('10년) 전기, 전자, 제어, S/W, 물리, 화학 이론이 복합되는 첨단 융복합기술의 선진형 제조 산업분야임 원천기술의 확보 발전과 산업기술발전이 동시에 진행될 수 있는 블루오션형 제조 기술산업 미국, 일본, EU 등에서 지속적으로 연구개발 성장되고 있는 선진형 첨단제조산업 디스플레이 LED 태양광 등 관련 장비산업까지 견인함으로써 새로운 기회를 제공하 는 최첨단 기반산업임 반도체 장비기술을 기반으로 장비기업들은 디스플레이 태양광 LED 등 관련 유사 산업으로 진출하여 포트폴리오를 다양화함 * 파급장비산업 본격화 시점 : 디스플레이('00년), 태양광('06년), LED('06년) <그림 1-3> 반도체제조기술 기반의 파생산업 현황 제1장 반도체장비 개발로드맵 개요 7

40 신성장동력장비 개발로드맵 2 반도체장비 개발로드맵 작성 범위 본 장비개발로드맵은 신성장장비경쟁력강화사업 중 반도체장비의 개발아이템을 발굴 하고자 함 산 학 연 공동의 반도체 장비 재료 기술개발의 기준 및 지표 마련 우리 실정에 맞는 반도체 개발로드맵을 작성하여 관련 산 학 연에서 폭넓게 활용 할 수 있는 반도체 장비 부품 및 재료 기술개발의 기준 및 지표를 마련 본 보고서에서는 반도체장비 중에서 동사업 성격(상용화 목표 달성)에 부합하는 조립 장비, 테스트 장비, 측정분석(MI)장비를 대상으로 조사하였음 핵심 전공정장비(식각/세정, 박막/열처리)는 산업융합원천사업 중 반도체장비상용 화사업 과 중복되지 않도록 본 검토범위에서 제외하였음 분야별로 다시 핵심 장비를 선정하고 현재 기술현황, 장 단기 기술로드맵, 향후 기 술요구 및 과제 등을 정리하였음 각 분과별로 부분품 및 소재의 개발방향을 정리하여 일관된 방향성을 제시함 수요자 중심의 시장환경 및 기술분석을 통한 로드맵 작성에 주력함 8

41 1. 반도체장비 개발로드맵 제2장 반도체장비 산업환경 분석 1 관련 제품/서비스산업(반도체산업) 동향 분석 2010년 세계 반도체시장 규모는 2009년 대비 32.5% 증가한 3,040억 달러를 기록함. 반도체 역사상 3천억 달러 규모대에 진입한 세계 반도체시장은 2011년부터 2014년까 지 4.4%의 성장률을 보이며 꾸준히 성장할 것으로 예상됨 (단위 : $B) * 자료 : isuppli <그림 1-4> 세계 반도체시장 전망 2010년부터 2014년까지의 디바이스별 반도체시장을 전망해보면 Analog(9.6%), Optical (9.2%), Discretes(9.0%) 분야가 높은 성장이 예상되며 Memory(-3.4%) 분야는 계속 되는 가격 하락으로 인해 시장 규모가 다소 축소될 전망임 <표 1-4> 디바이스별 반도체시장 전망 (단위 : $B) * 자료 : isuppli 제2장 반도체장비 산업환경 분석 9

42 신성장동력장비 개발로드맵 2010년 가장 많은 매출을 올린 기업은 Intel과 삼성전자로 404억 달러, 278억 달러의 매출을 기록하며 세계 반도체시장의 13.3%, 9.2%를 점유하여 4.3%를 점유하고 있는 3위 Toshiba와 큰 차이를 두고있음. 2010년 세계 상위 반도체기업들의 동향을 살펴 보면 전반적인 실적 회복 속에서도 특히 메모리 가격 회복의 영향을 받은 메모리 제 조업체들이 높은 성장률을 보이고 있음. 또한 삼성전자와 하이닉스 양사의 메모리 분 야 세계 시장 점유율은 2009년의 45.8%에서 49.8%로 상승하여 세계 메모리 업계에 서의 입지를 더욱 공고히 하였음. 이외에도 반도체업계 세계 10위인 Qualcomm을 포 함하여 총 7개 fabless 기업이 Top 30에 진입해 있는 등 반도체산업에서의 fabless 산 업이 매우 중요한 위치를 차지하고 있음 <표 1-5> 세계반도체 30대 기업 (단위 : $M) * 자료 : isuppli

43 1. 반도체장비 개발로드맵 2 신성장동력 전략지도 분석 반도체장비는 반도체제조의 핵심후방산업으로 장비경쟁력이 곧 반도체경쟁력에 직결 되고 있음. 반도체는 성격에 따라 시스템반도체와 메모리반도체로 구분되며, 시장규 모는 시스템반도체가 메모리반도체의 약 3배 규모임 국가경제에 이바지하는 반도체산업의 영향력은 막대하나, 메모리에 다소 치우친 경향 이 있어 정부에서도 2009년 국가 신성장동력 기술지도에 시스템반도체 를 포함하여 적극 육성할 의지를 표명하고 있음 이러한 시스템반도체는 전자산업을 비롯한 각종 시스템 산업의 원천부품으로 인식되 고 있으며, 그 특성을 감안하여 IT 융합시스템 에 포함되어 전략지도가 작성되었음 결론적으로 반도체장비분야는 IT 융합시스템 內 시스템반도체 산업 및 차세대메 모리 산업을 뒷받침하는 핵심성장동력사업 분야임 제2장 반도체장비 산업환경 분석 11

44 신성장동력장비 개발로드맵 3 반도체장비 산업동향 분석 3.1 국내외 시장 동향 및 전망 반도체장비시장은 지속적으로 확대되며, '12년에는 430억불, '14년에는 360억불 예상 되며, 이중 전공정 장비가 전체 시장의 75% 이상을 계속 점유할 것으로 예측됨 <그림 1-5> 연도별 반도체 장비 투자액 (단위 : 억불) 구 분 (E) 2011(E) 2012(E) 2013(E) 2014(E) 전공정 후공정 검사공정 각종설비 합 계 출처 : Gartner 특히, 3D 소자의 일반화 및 패키징의 아웃소싱이 가속되면서 TSV 및 범핑 장비시 장이 급속히 확대될 것으로 예상되고 있음 경쟁구도를 살펴보면 미국, 일본, 유럽 3개국 10개 업체가 전체시장의 60% 이상을 차지하고 있으며, 이들 Big Vendor들의 영향력이 절대적으로, 공정 미세화, 대구경 화 등으로 향후 top 10 기업의 영향력이 확대될 전망임 12

45 1. 반도체장비 개발로드맵 <표 1-6> 반도체장비 Top 기업현황 순 위 매출액(M$) 회사명 09년 2008년 2009년 증감률(%) 1 Applied Materials(미) 4,088 2, Tokyo Electron(일) 3,452 2, ASML(EU) 3,525 1, Lam Research(미) 1,502 1, KLA-Tenkor(미) 1,770 1, Nikon(일) 1, Teradyne(미) Dainippon Screen(일) Advantest(일) ASM International(EU) 개사 소계 18,899 11, 세메스(한) 기타 소계 11,760 5, 합 계 30,659 16, 출처 : Gartner 년은 KSIA 추정 아시아권의 장비수요가 지속적으로 확대되고 있으며, 그중 파운드리의 절대강국인 대 만의 장비시장이 지속적으로 상승하고 있음 <표 1-7> 지역별 장비시장 현황 (단위 : 억불, %) 구 분 (E) 2012(E) 2013(E) 2014(E) 북 미 일 본 유 럽 중 국 한 국 대 만 기 타 출처 : Gartner 반도체장비 중 리소장비의 시장이 가장 크며, 향후에도 그 영향력을 막대할 것으로 예측되고 있음 제2장 반도체장비 산업환경 분석 13

46 신성장동력장비 개발로드맵 <표 1-8> 품목별 세계 장비시장 규모 (단위 : M$, %) 구 분 전공정장비 27,062 24,639 31,182 34,320 22,873 12,425 21,833 27,763 33,016 27,949 27,508 Lithography 7,251 6,735 8,476 9,386 7,012 3,450 7,174 8,173 9,760 8,228 9,207 Steppers 5,271 4,990 6,386 7,144 5,388 2,606 5,672 6,181 7,388 6,105 6,668 Track 1,664 1,400 1,730 1,916 1, ,170 1,419 1,756 1,322 1,490 기타 ,049 Etch 4,194 3,612 5,136 5,879 3,617 1,953 3,171 4,247 5,046 4,232 3,822 Dry Etcher 3,799 3,275 4,598 5,388 3,310 1,828 2,856 3,832 4,536 3,872 3,489 Asher Diffusion 1,060 1,121 1,358 1, ,049 1, Oxidation RTP ALD Ion Implant 1,266 1,122 1,364 1, CVD 3,721 3,277 4,380 4,737 2,713 1,423 2,210 2,950 3,503 2,935 2,628 APCVD HDPCVD PECVD 2,040 1,651 2,297 2,569 1, ,411 1,939 2,342 1,996 1,794 Other Deposition , PVD 2,337 1,903 2,374 2,659 2,147 1,460 2,492 3,193 3,650 3,425 3,471 Sputter 1,644 1,290 1,554 1,643 1, ,249 1,433 1,129 1,001 Electro Plating , ,537 1,944 2,218 2,296 2,470 CMP 1,343 1,119 1,320 1, , Wet Etch & Clean 1,791 1,775 2,146 2,495 1, ,325 1,889 2,280 1,629 1,464 Wet Station 1, ,150 1, Spin-Spray Processor ,402 1,694 1,221 1,095 Other Clean Processors Metrology & Inspection 3,711 3,672 4,215 4,536 3,116 1,763 3,131 4,193 5,116 4,519 4,119 Wafer Inspection 1,773 1,826 2,180 2,408 1, ,703 2,301 2,866 2,505 2,279 Metrology 1,938 1,846 2,035 2,128 1, ,428 1,892 2,250 2,014 1,840 Other Wafer Fab Equipment 조립장비 3,482 3,220 3,924 4,047 3,168 1,937 3,396 4,066 4,659 3,548 4,072 Assembly 1,738 1,591 1,784 1,926 1, ,488 1,748 1,935 1,411 1,598 Dicing Saw Wire Bonding ,025 1, ,066 1, Die Attacher Package Molding M/C Singulator Laser Marker

47 1. 반도체장비 개발로드맵 구 분 Saw Sorter Ball Attacher Wafer Level Packaging Equipment ,209 1,5010 1,227 1,469 Other Package Equipment 검사장비 6,092 4,968 5,580 4,813 3,278 1,763 3,052 3,554 3,910 2,970 3,312 Tester 4,614 3,530 4,053 3,429 2,356 1,253 2,163 2,496 2,735 2,094 2,313 Memory IC Tester 2,007 1,147 1,378 1, SoC Tester 2,272 2,118 2,238 1,537 1, ,419 1,616 1,811 1,439 1,625 Mixed-Signal IC Tester Test Handlers Probe Station Other Test Equipment 각종 Utility 및 설비 1,290 1,313 1,368 1,690 1, ,092 1,030 1,335 1,228 1,074 총 계 37,925 34,141 42,053 44, ,659 16,677 29,373 36,413 42,920 35, ,966 출처 : Gartner 국내 반도체 장비시장은 40억불이나, 무역수지는 '10년기준으로 26억불 적자를 기록함 <표 1-9> 국내 반도체장비 산업현황 (단위 : 억불) 구 분 비 고 세계 Gartner 시장 국내 국내소자업체 수요조사 비율(%) 국내공급 수입규모 국내 소자업체 수요조사 국산화 수출규모 MTI 7321 (반도체제조용장비) 무역수지 * 국내소자업체는 하이닉스, 엠코, 삼성전자, 페어차일드 조사 * MTI 7321은 반도체 제조용 장비로, 부품 제외 * 분야별 수입비중('09년) : 전공정 54%, 조립 3%, 검사 및 기타 : 43% 설비 R&D 투자 현황을 살펴보면 '09년 매출액 대비 설비투자 비율은 3%, R&D 투자 제2장 반도체장비 산업환경 분석 15

48 신성장동력장비 개발로드맵 비중은 8.4%였으나, 금년 상반기에는 매출액이 상승하며 설비투자 비율 3%, R&D 비 중은 6.1%로 오히려 축소되었음 또한, 국내 장비업체 인력은 '10년 2분기 현재 '09년 대비 약 13.8% 증가된 것으로 조사 되어 전체적으로 약 11,000여명으로 추산되며, '10년 상반기 인력구성을 보면 생산직이 전체의 41.8%, 연구직이 26.3%, 관리직이 12.6%, 영업이 6.6% 등으로 조사되고 있음 3.2 국내외 기술 동향 및 전망 미세화 추이 지난 수십년간 반도체 소자는 반도체 집적회로(IC)의 용량이 매 3년마다 4배로 증가 하는 Moore의 법칙에 따라서 매 3년마다 최소선폭이 약 0.7배로 감소하는 추이를 보여 왔음. 미세화의 결과 IC 내의 트랜지스터 개수는 1970년대 수천 ~ 수만개에서 현재 수억 개로 증가하였고, 클럭주파수 역시 1970년대 수 MHz에서 현재 수 GHz로 향상 되었음. 또한 미세화 결과 같은 기능의 반도체 칩을 점점 더욱 싸게 생산할 수 있게 됨 미세화 전망 ITRS 등의 로드맵에 의하면 Moore의 법칙에 따른 미세화 경향은 앞으로도 상당기간 지속될 것으로 예상하고 있음. 그러나 DRAM의 경우 2000년 ~ 2004년, Flash의 경우 2000년 ~ 2008년에 달성했던 매 2년에 0.7배 감소는 어려울 것이고 매 3년에 0.7배 감소를 목표로 하고 있음 <그림 1-6> 미세화 전망(ITRS 2007) 16

49 1. 반도체장비 개발로드맵 미세화에 따른 기술적 난제 Lithography 기술은 광원의 파장을 줄임으로써 해상도를 향상시켜왔으며(g-line i-line(365nm) KrF(248nm) ArF(198nm)), 파장보다 작은 pattern을 형성하기 위 해서 각종 resolution enhancement technology가 사용되고 있으나, 현재 사용중인 ArF lithography는 곧 한계를 맞게 될 것임. 결국 EUV(13.5nm) 광원을 이용한 lithography 기술이 도입되고 있음 <그림 1-7> Lithography 기술의 발전방향 Front-end 공정기술은 0.13 um까지는 단순히 transistor의 gate 폭을 줄임으로써 소자 의 특성을 향상시켜왔으나, 90nm급부터는 새로운 기술이 도입됨(Strained Si 기술과 ultra shallow junction(usj) 기술 등). 45nm급부터는 High-K/Metal Gate가 기존의 SiON/poly gate를 대체하고 있으며, 새로운 물질의 도입이 가속화되고 있음 22nm급부터는 planar CMOS 소자가 한계를 맞이하여 FinFET과 같은 non-planar 소 자가 사용되며 이에 따라 bulk Si wafer 대신 SOI wafer의 사용이 확대되고 있음. 16nm급부터는 Ge, III-V, nano wire, graphene 등 전하이동도가 높은 새로운 channel 물질을 이용하는 새로운 소자가 필요할 것으로 예상됨 이와 같이 45nm 이하에서는 새로운 물질/구조/기판/제조공정의 도입에 의해 생산원 가가 상승하고 있으며, 소자 미세화의 한계를 극복하기 위한 기술개발과 병행하여 대 구경화를 통한 효과적인 대응여부가 소자업체의 경쟁력을 좌우할 것으로 예측됨 제2장 반도체장비 산업환경 분석 17

50 신성장동력장비 개발로드맵 <그림 1-8> 미세화에 따른 Front-end 기술의 발전방향 MI 장비 분야 웨이퍼용 측정분석 장비 세계 기술개발 현황 두께 측정의 경우 이미 얻은 spectrum이나 측정 데이터 2차 가공을 통한 각 Layer 의 구분능력 향상을 꾀하고 있음. Raw Spectrum에서의 개선이 아닌, 여러 데이터 가공 방법을 사용해서 성능 향상이 시도되며, H/W의 개발보다는 S/W 방법론 개발이 더 활발히 행해지고 있음 CD-SEM의 resolution 한계로 말미암아 resolution 개발보다는 영상을 이용한 application 개발에 focus가 많이 되고 있으며, Design rule 감소와 memory 용량 증 대로 인해 local CD 측정과 같이 몇 point 되지 않은 대표성이 없는 측정보다는 OCD 와 같이 매크로 CD 측정에 대한 need가 증대되고 있음 CD-AFM의 경우는 전자빔에 대한 shrinkage의 가능성이 없기 때문에 standard로 많 이 쓰이고 있지만 tip 제작의 한계와 throughput이 관건임 OCD 분석 장비 개발은 S/W 및 H/W 적인 변화 두 가지 방향으로 개발되고 있음. S/W 개선으로는 OCD Modeling 편의성 향상, Library 생성 및 분석 속도 개선 등이 이루어지고 있으며 H/W 적으로는 VUV SE 및 SR, Multiple-Azimuth Angle, Mueller Matrix 등의 개발이 진행 중이거나 상용화 됨 18

51 1. 반도체장비 개발로드맵 기존의 단독 측정 장비 외에 공정의 제어를 위해 ETCH 등 공정 장비 내에 OCD 측 정을 위한 장비가 함께 들어가 있어 측정 결과를 통한 공정 제어가 가능하게 하는 APC 방법도 활성화 될 것으로 예상됨 적층된 초박막에 대한 분석에 대하여 일반적인 ellipsometer의 경우 한계를 보이고 있어 이를 보완할 수 있는 XRR 기술이 적용되고 있음. X-ray의 angle을 grazing 하면 서 측정하기 때문에 측정 시간 및 spot size를 줄이는 방향으로 개발이 진행 중임 10A 이하의 초박막의 두께측정에 대하여 물리적인 두께 측정의 한계를 극복하기 위 하여 조성분석을 통하여 측정하는 방법이 시도되고 있으며, 박막 내 미량의 경원소 측정에 x-ray source의 XPS와 전자원인 EPMA tool을 이용하고 있다. 즉, 화학결합 상태를 확인하거나 극 표면부를 분석할 경우, 미량의 경원소를 분석할 경우 등에 XPS 또는 EPMA tool을 이용하고 있는 중임 LOGIC 제품 등에서 GATE performance를 증가시키기 위해 stress를 이용한 공정이 적용되고 있음. 이를 측정하기 위하여 라만 스펙트럼을 분석하여 결정화 정도를 측정 하는 Raman spectroscopy와 격자간 거리를 측정하는 HR-XRD로 stress정도를 모니터 링 할 수 있는 방법을 찾는 중임 기관 최근 개발현황 파라메타 개발단계 KLA-Tencor(미국) 150 nm SE 기술 개발 Precision 시제품 Nanometrics(미국) Lynx 기술 개발 Throughput 시제품 AMAT(이스라엘) high resolution SEM Resolution 시제품 Hitachi(일본) Various application 개발 User 편의 β tool Carl Zeizz(독일) Very high resolution tool Resolution α tool KLA-Tencor(미국) Multi Azimuth angle OCD 개발 Precision 시제품 Nanometrics(미국) Mueller Matrix OCD 개발 Precision 시제품 JordanValley(이스라엘) HR-XRD application 개발 Si stress 시제품 AMETEK(미국) very low concentration 측정 개발 sensitivity 시제품 Horiba(일본) In-line Raman 개발 Si stress 시제품 중장기 발전 전망 현재 150 nm 파장의 SE 설비가 도입 되었고, 장비업체에서는 120 nm의 파장 SE 설비를 준비하고 있음. 더 높은 에너지의 빛을 이용해서 다층 박막의 구분을 하겠다는 방향임 제2장 반도체장비 산업환경 분석 19

52 신성장동력장비 개발로드맵 시장의 요구를 만족하지 못하는 CD-SEM의 경우 resolution의 비약적인 개발이 없으 면 점차 CD 측정이 다른 tool로 진행될 소지가 있음. 그러나 여전히 CD-SEM의 need 가 있고 현재의 resolution으로 cover가 가능한 layer가 대부분이기 때문에 시장 자체 가 없어지지는 않고 유지는 될 것 같음 OCD에 대한 need가 계속적으로 늘어남. Device가 복잡해짐에 따라 2D에서 3D 분 석이 요구되고 있으며, 또한 생산성과 cell을 대변하는 영역의 monitoring이 아니고 cell 자체를 직접적으로 모니터할 수 있는 tool로의 가능성이 있기 때문에 앞으로 시 장성 또한 좋음 두께 측정뿐만 아니라 물질의 농도 측정에도 X-ray 기술이 적용되고 있는 등 X-ray 기술에 대한 가능성을 많이 보고 있음 웨이퍼용 검사계측 장비 세계 기술개발 현황 BF(Bright-Field)의 경우 광원으로 lamp와 laser가 경쟁적으로 개발 중임. 각 Source 들의 장점에 맞도록 특화되어 가는 경향으로 일반적으로 속도 위주의 개발이었으나 최근 들어 sensitivity도 고려되고 있음 EBI에서 중요하게 여겨지는 요소가 high resolution과 throughput인데 많은 업체들이 high resolution에 focus를 하고 있음 Particle counter는 상대적으로 BF나 DF tool에 비해 기술적으로 낮고 필요한 요구 spec이 낮으나 Design rule이 작아짐에 따라 필요한 source도 점차적으로 low wavelength 쪽으로 이동하고 있음. 현재 개발되고 있는 tool은 DUV source를 사용함 기관 최근 개발현황 파라메타 개발단계 KLA-Tencor(미국) BF : S/N ratio 향상 기술 개발 S/N ratio α tool AMAT(이스라엘) BF : Resolution 향상 기술 개발 resolution VOC 수집 KLA-Tencor(미국) DF : Throughput 향상 기술 속도 α tool Hitachi(일본) DF : sensitivity 기술 향상 sensitivity β tool Auros(한국) DF : sensitivity 기술 향상 sensitivity β tool HMI(대만) EBI : Resolution 향상 기술 개발 resolution 시제품 KLA-Tencor(미국) EBI:Resolution 향상 기술 개발 resolution β tool KLA-Tencor(미국) PC : sensitivity 기술 향상 sensitivity β tool Hitachi(일본) PC : sensitivity 기술 향상 sensitivity β tool 20

53 1. 반도체장비 개발로드맵 중장기 발전 전망 패턴의 미세화로 인해 high resolution의 중요성이 커지고 그것에 대한 need가 커지 고 있으며, DF의 성능이 비약적으로 향상되고 있어 BF 시장을 잠식할 가능성이 많 음. 또한 EBI의 resolution이 10 nm 미만으로 내려가고 있는 상황이고 차세대 EBI target이 3 nm 임 BF 검사기는 거의 독과점처럼 KLA-Tencor사가 M/S 대부분을 차지하고 있었으나 최 근에 Applied Materials사와 기술차가 많이 좁혀져 가고 있음 DF의 경우 계속적으로 throughput 향상에 주안점을 두고 개발이 예상되며 특히 국내 업체가 외국 업체 한 곳을 인수함에 따라 국산화의 가능성을 타진하고 있는 상황임. 기술적으로 선진업체와 격차가 많이 나지는 않음 Particle counter는 시장이 크지는 않지만 웨이퍼 수입 검사 쪽과 공정 monitoring으 로 수요가 있고 패턴 미세화에 따른 파티클 관리가 tight해져서 sensitivity가 갈수록 중요해지고 있으며, TSV는 신공정으로 현재 세계적으로 개발 중인 상태로 향후 시장 이 확대 될 것으로 판단됨 마스크용 측정계측 장비 세계 기술개발 현황 CD-SEM의 경우 Resolution 향상기술 및 영상를 이용한 application 개발에 초점이 맞춰 져 있으며, Charging에 의한 Image Shift 문제를 개선하기 위한 기술이 개발되고 있음. Phase/Trans측정의 경우 Phase Uniformity, Pattern Depth 및 Pattern Thickness 측 정기술에 초점이 맞추어져 있음 기관 최근 개발현황 파라메타 개발단계 Advantest(일본) 100 nm 기술개발 Resolution 시작품 Holon(일본) 200 nm 기술개발 Resolution 시작품 n&k analysis(미국) 200 nm 기술개발 Precision 시작품 패턴의 위치(Registration) 정밀도 측정 장비의 경우 해상도향상을 위한 단파장 광원 및 high NA Optic를 사용함. 기존 원형 beam에서 웨이퍼에서 실제 사용하는 변형 조명계(annular/quasar beam)를 사용하여 패턴의 해상도를 향상시킴 제2장 반도체장비 산업환경 분석 21

54 신성장동력장비 개발로드맵 기존 주 패턴 외곽의 단순 십자가(Cross) 형태의 Registration 측정에서 Layer간 주 패 턴 영역을 측정하는 In Registration 측정법이 개발되고 있으며, Layer내 동일한 위치 뿐 아니라 상이한 위치에서도 Layer간 Overlay 분석 가능한 Tool이 개발 되고 있음 기관 최근 개발현황 파라메타 개발단계 Carl Zeiss Aperture를 이용한 다양한 광원 개발 광원 완료 Carl Zeiss 193 nm 광원 개발 광원 완료 Carl Zeiss In-Die Registration Tool 개발 측정 방법 완료 Carl Zeiss Overlay 분석 Tool 개발 데이터 분석 완료 KLA 266 nm 광원 개발 광원 완료 KLA Overlay 분석 Tool 개발 데이터 분석 완료 중장기 발전 전망 CD-SEM의 경우 측정좌표를 이용한 자동측정기능의 강화기술과, 이에 연계된 측정속 도 향상개발도 이루어지고 있으며, 해상도의 비약적인 발전이 없으면 점차 CD 측정 이 다른 방식으로 옮겨갈 가능성이 있음. 또한 현재의 해상도로도 충분한 layer가 대 부분이기 때문에 시장 자체가 없어지지는 않고 유지는 될 것임 Phase/Trans 측정장치의 경우 지속적인 개발을 통해 Pattern Depth Profile 및 두께 측정 가능한 기술로 개발되고 있으며, 투과광을 이용한 CD 측정 및 CD Uniformity 측정에 대한 기술도 개발 되고 있음 마스크용 검사계측 장비 기술개발 현황 및 중장기 발전 전망 초기 마스크 패턴검사장비는 Hardware및 Computing 능력의 한계로 투과광 또는 반 사광을 단독으로 사용하는 검사를 진행하였으나 최근 장비성능의 향상으로 투과/반 사 영상을 동시에 분석처리하여 One time Scan으로 투과/반사 동시 패턴검사, 투과/ 반사 영상를 동시에 이용하는 이물검사 등 신규 기술이 개발되어 사용중임 EUVL이 도입되기 전까지 패턴 Inspection의 주 사용광원은 투과광이었으나 비투과 방식의 EUV 마스크를 검사하기 위해서는 투과광과 동등한 수준의 반사광 패턴 결함 검출력이 요구되며, 각 제조업체에서는 반사광을 이용한 패턴검사장비 개발 및 검출 감도를 올리는 기술을 개발하고 있음 22

55 1. 반도체장비 개발로드맵 Mask Pattern Inspection장비는 단파장광원을 사용하여 해상력과 검출감도를 향상시키는 알고리듬 및 생산성을 향상시키는 영상처리 능력을 경쟁적으로 개발중임. 또한 광학 마스크와 더불어 EUVL 마스크 검사가 가능한 검사장비들이 시장에 출시되고 있음 Mask Macro Inspection 장비는 Through-put이 손실되지 않는 범위 내에서 Stage 개 선, sensor의 Signal to Noise 비 개선을 통해 안정적인 파티클검출, 파티클의 Live Image 시각화 기능 등이 구현되고 있으며 최근 검출된 파티클제거하는 기능을 추가 하는 등 장비 활용성을 높이는 장비 및 기술이 개발되고 있음 Aerial Imaging Inspection장비는 마스크 패턴 의 웨이퍼 영향예측의 정확도를 높이 고 측정자동화를 통한 생산성 향상, 새로운 노광조건 및 복잡한 패턴 형성 기술에 대 응 가능하도록 기술개발이 진행중임 테스터 분야 메모리 테스터 세계 기술개발 현황 국외 선진사의 기술 동향을 살펴보면 메모리 테스터 부분은 8Gbps까지 Test 할 수 있는 수준의 장비가 이미 개발 완료되어 있으며 여러 소자업체에서 양산에 적용되고 있음. 최근에는 DDR4 제품과 LPDDR3 제품을 동시에 지원할 수 있는 4.0 ~ 4.5Gbps 급의 Full I/O 장비를 개발하여 2012년 ~ 2014년 사이에 출시할 예정에 있으며 테스 트 원가 절감을 원하는 소자 업체의 요구에 맞춰 동일 Platform 에서 원하는 성능으 로 Upgrade할 수 있는 Flexible한 장비를 개발하고 있음 국내 기술개발 현황 2008 ~ 2009년 불황을 겪으면서 국내의 경우 장비개발에 대한 투자 축소로 High Speed 장비에 대한 개발은 DDR3 제품을 Target으로 하는 1.8Gbps 장비 수준에 머 물러 있음. 2010년 국책과제로 3.6 Gbps DDR4(GDDR5) 측정 가능한 장비 개발이 일단은 완료되었으나, 국내 핵심 칩 기술의 한계로 인해 핵심 칩을 조립하는 수준이 어서 외산 대비 경쟁력이 떨어지는 상황임. 현재는 DDR3 제품을 타겟으로 하는 1.8Gbps급이 주로 생산되고 있음. 하지만 향후 출시 예정인 DDR4와 LPDDR3 제품 제2장 반도체장비 산업환경 분석 23

56 신성장동력장비 개발로드맵 에 대응하기 위해 해외 장비와 같은 Full I/O를 지원하는 3.6Gbps급의 장비 개발이 진행되고 있음. 최근 스마트폰과 같은 모바일 기기의 성장에 힘입어 모바일 제품 Core Test용으로 200Mhz급의 多 IO 장비가 개발되었고, 해외(대만)의 요청에 따라 100Mhz급 High Speed Burn-in 장비가 상용화가 되어 수출되고 있으며, 차기 High Speed Burn-in 장비로는 200Mhz 급의 시제품 개발되어 평가가 진행되고 있음. 웨이 퍼 테스터의 경우 패키지 테스터보다 국내 기술이 더욱 열악하며, 특히 Flash 제품이 DRAM보다 기술이 낙후되어 있는 상황임. NAND형 전용 웨이퍼 테스터의 경우는 최 근 80MHz, 480 parallel 측정이 가능한 장비가 개발 양산중임 중장기 발전 전망 가장 특이할 시장 방향성은 바로 Mobile제품으로서, Mobile DRAM 제품의 수요 증가 따라 이들 제품에 초점을 맞춘 저전력, 多 채널(I/O)을 보유한 검사 장비에 대한 필요 성이 증가하고 있음. 따라서 高 생산성( 多 Parallel)/및 가격경쟁력 있는 전용 장비 개발 쪽으로 전체적인 테스터의 개발 및 시장 방향성이 진행중임. High Speed 장비 분야 에서는 소자 업체들이 테스트 원가 절감을 위해 BOST나 ASIC을 활용하여 기존의 장 비를 재활용하는 방법을 찾고 있으며, 테스트 장비 업체에서는 DDR4와 LPDDR3를 주요 Target으로하는 4 ~ 4.5Gbps급의 Flexible한 장비를 개발 중임 시스템IC 테스터 세계 기술개발 현황 다채널 drive/compare가 가능하고, DPS Board의 수가 많으며 high frequency에서 안정적인 성능을 보이는 Tester를 개발하는 것이 우선임. CIS의 경우 시장규모가 점 점 커지는 추세이나 한정적인 Tester업체로 인해 선택의 폭이 넓지 않으며, 앞으로도 Blue ocean 사업군으로 평가됨. 국내에는 아직 CIS tester를 개발 및 판매하는 회사가 없음. 국내에서는 Memory tester로 테스터에 진입하여 이제 어느 정도의 기술력이 쌓 여있는 상황이고, 국내에는 CIS제품을 생산하는 세계적인 기업이 있으므로 CIS tester 의 진출이 절실히 필요함 SD/GD의 경우 LCD panel의 크기가 증가함에 따라 보다 많은 전압 및 전류의 공급 이 가능한 안정적인 Tester를 개발해야 함 24

57 1. 반도체장비 개발로드맵 최근 한 장비로 고정밀도를 가지고 폭넓은 bandwidth를 제공하는 ATE의 수요가 커 지고 있음 국내 기술개발 현황 : 시스템IC용 테스터의 개발경험이 전무함 중장기 발전 전망 Mobile 및 Display 용 칩이 시스템 IC에서 가장 많은 비중을 차지하고 있음. 많은 칩 들이 Mobile 및 Display용 칩이 system IC에서 가장 많은 비중을 차지함. 많은 칩들 이 SOC 혹은 MCP 형태로 가는 추세이며, 이에 따라 Tester에서 필요로 하는 option 또한 점차 까다롭게 변하고 있음 조립장비 분야 세계 기술개발 현황 주로 크게 기술면에서 3개의 축으로 기술개발이 이루어지고 있으며, 그중 Wafer Level Packaging을 축으로 하여 TSV Process를 기반으로 하여 전공정과 후공정 중간 형태의 Wafer 가공, Wafer Thinning, Wafer Bonding, Wafer Stacking, Tape Mount, Molding 등 이미 2 ~ 3년 후의 생산 확대를 예상하고 프로세스 검증과 양산 파라미터 추출 등 준비를 이미 끝마치고 있는 상황이며, 장비 가격 또한 전공정 장비 못지않은 고가격과 고부가가치를 구가하고 있음 미세화와 고생산성 기술을 축으로 하여 이미 출현한 Process와 형태는 비슷하나 기계 구조 Concept의 혁신 및 제어기술의 극대화, 멀티 및 복합화를 통한 시장 지배력을 공고히 하고, 부가가치를 높이는 방향으로 진행되고 있음. 예를 들면 FlipChip Bonder의 미세화 기술 적용에 따른 Copper Pilar 대응 열압착 Bonder, Multi Head Bonder를 통한 UPH상승. 그리고 대면적 수용을 위한 새로운 모델 확충 등을 들 수 있으며, 이 또한 국내 업체의 추격을 예상하고 앞서 준비하고 있는 실정임 마지막으로 레이저 머신비전 Adheasive Tester 등 새로운 material 및 추가 기능에 대한 발빠른 대응과 특허 전략임. 주로 3D Packaging의 발전 추세와 맞물려 있으며, 레이저의 고속, 정밀, 클린 기능을 최적화한 Ablation, Grooving, Thin Wafer Cutting & Drilling 등이 이에 해당하며, 머신비전은 2차원에서 3차원으로 자리 매김을 한지 제2장 반도체장비 산업환경 분석 25

58 신성장동력장비 개발로드맵 오래이며 레이저와 함께 하이브리드 형식의 구조로 패키지 및 Wafer를 검사 측정하 는 추세로 변모 하고 있음다. 또한 재료의 특성에 민감한 Tape, adhesive 용액, Cleaning 물질 등의 핸들링이 추가적으로 요구되어지고 있으며, 전기적 테스터와 인 라인으로 구성하는 사례가 많아지고 있음 2009년 하반기부터 1년여 간의 침체를 극복하고 중국 및 대만에서 엄청난 시설투자 를 감행하였고, 이때 오히려 국내에서는 오히려 투자가 거의 없었으며, 해외지사 설 립 및 대만이나 중국의 업체에 위탁생산을 하는 쪽으로 방향을 수정하였음. 이는 미 국 유럽 및 일본의 선진 패키징 기술을 가진 소자업체에서 선두권 장비업체와 연구 개발을 진행하고 양산은 생산 Cost를 감안하여 중국 및 대만에서 진행함으로써 나타 나는 현상으로 볼 수 있으며, 이로써 새로운 공정 장비는 고부가가치의 선진 장비 업 체가 공급하고 저가의 기존 공정 장비의 시설확충은 한국이나 중국 및 대만의 로컬업 체가 공급하는 현상의 가속화를 초래함 <그림 1-9> 반도체 후공정 장비 시장 전망 국내 기술개발 현황 SiP공정을 적용한 일부 공정/Pakaging 기술이 삼성전자와 Amkor, 하이닉스 등을 중심 으로 확보되어 있는 상태이나 전체 integration 양산 공정기술은 시급히 개발이 추진 되어야 할 분야이며 또한 이에 대한 장비 기술은 해외에 100% 의존되고 있는 상태임 향후 전개되는 Wafer to Wafer의 wafer-level 3D integration 공정기술 및 양산화기술 은 매우 초보적인 단계의 기술적 성숙도를 가지고 있는 상태임 26

59 1. 반도체장비 개발로드맵 조립장비(Assembly) 고집적화, 소형화, 전기적 특성 향상의 장점으로 인해 제품생산이 활발해 지는 직 접접속 방식의 패키징 조립기술은 반도체 제품의 적용범위가 넓어짐에 따라, 관련 공정장치 개발이 필수적인 상황임. 외산설비(Datacon)에 의존하던 플립칩 패키지 공정장비의 개발이 활발히 이루어지고 있으며, 국내 설비업체 중 일부는 양산검증 이 완료되어 본격적인 시장 진입이 기대되며, 고 생산성의 장비개발 가속화를 통한 생산 Cost를 줄이는 방향으로 개발이 진행 중임 반도체 디바이스 조립 외, 패키지 단위의 적층을 위한 3D stack 설비 및 Laser mold drilling 설비는 국산화(고려반도체시스템, 한미반도체)가 완료되어 반도체 생 산업체에 적용된 실적이 확인된 바 있으며, 3차원 적층을 위한 Chip to Chip, Chip to Wafer 설비는 TSV 기술개발 현황에 따라 그 필요성이 증대되고 있는 실정이나, 현재 해당기술의 구현은 외산설비에 의존하고 있는 실정임. 국내 프로텍의 경우 Chip to Wafer 설비의 개발이 진행 되고 있는 것으로 확인되고 있음 절단장비(Dicing, Singulation) 이미 CSP Package의 Dicing Saw 장비는 한미반도체에서 국산화 대응 및 수출전략 으로 상당한 전세계시장을 장악하였으며, 세크론 등에서도 개발을 진행하고 있음. 또한, 웨이퍼의 박형화는 절단공정의 변화를 요구하고 있으며, Dicing 공정의 단점을 해소하기 위한 레이저 다이싱 설비의 경우 고려반도체, 이오테크닉스 등이 해외업체와 경쟁하고 있으며, 고려반도체의 경우 low-k 물질제거를 위한 grooving 설비의 국내납품 실적이 확인되고 있음. 이오테크닉스는 수출을 통한 시장확대와 함께 Laser를 이용한 패키지 절단설비(Wafer Laser Full Cut)의 개발을 수행하고 있는 것으로 확인되고 있음 몰딩 장비(molding) 패키징 공정 가운데, 제품화에 필수적인 몰딩의 경우 해외 선도기업과의 수준차를 많이 줄인 것으로 확인되고 있으며, Strip의 대면적 컴프레션 몰딩은 개발을 완료하 였고, 300mm 대응을 위한 관련 기술개발을 통해 국내 장비업체의 기술선도가 가 능할 것으로 기대되고 있음 검사장비 검사장비의 경우, 외관 검사 장비인 3D Vision Inspection 장비는 기존 ICOS 등의 수입업체에 맞서 국산화가 대부분 완료되어 인텍플러스, 한미반도체 등이 역으로 제2장 반도체장비 산업환경 분석 27

60 신성장동력장비 개발로드맵 수출도 하고 있으며, 그 외 PCB 관련 3D 검사장비 업체가 이에 합류하여 시장을 키우고 있음. 한편, 접속 및 단락 검사를 수행하는 burn in tester 등은 국내 설비업 체에 의해 국산화가 상당부분 이루어졌으나, 소형화에 대응하는 fine pitch bump 검사의 기술개발이 취약한 상황임 중장기 발전 전망 Back Grinding은 박형화로 Non Mechanical 가공 및 Stress Relief 기술 개발이 요구 되며, Dicing은 박형화, Saw Line폭 축소, Low k Material 사용에 따른 절단기술 개 발이 요구됨 32nm 이하의 Low-K compatible, extremely thin die에 맞는 새로운 패러다임이 요구 되고 있으며, Density 향상을 위한 다층 Stack 기술 및 Flip Chip 전환에 따른 장비 Capa 향상이 필요함 Encapsulation에서 가장 중요한 Molding에서 사용하는 재료들이 기술개발의 동향에 맞추어 High Flow Mold Compound 혹은 Powder Compound, 그리고 Liquid Compound등이 시장에서 채용될 것임 3.3 정책 동향 반도체장비분야에 대해 지식경제부(구, 산자부)를 중심으로 산업 육성을 위해 지난 18 년간 약 1,700억원의 연구개발 예산을 투입하였음. 초기에는 조립, 모듈 중심이었으 나, 98년 이후에는 300mm 前 공정 장비에 집중 지원함 <표 1-10> 정부지원 사업 이력 사업명 기 간 지원규모 지원내용 지원부처 G7 프로젝트 '93 ~ '97 78억원 모듈/조립 과기처 중기거점 '95 ~ '99 124억원 조립/검사 산자부 시스템IC2010 '98 ~ '10 308억원 식각/증착 산자부, 과기처 부품소재기술개발 '04 ~ 562억원 전분야 산자부 성장동력반도체 '04 ~ 112억원 부품 산자부 반도체장비상용화 '07 ~ '11 580억원 증착/식각/검사 지경부 계 1,764억원 28

61 1. 반도체장비 개발로드맵 이러한 지원을 통하여 장비산업 발전기반 조성 및 산업위상 강화는 성공적이나 글로 벌 수출산업화로의 육성은 여전히 미흡한 것으로 평가됨 국책사업 결과로 약 1조 8천억원 이상의 매출이 발생하였으며, 이를 토대로 디스플 레이/태양광 분야에 성공적으로 진출함 * 매출규모 : CVD 3,500억원, Track 4,000억원, ALD 2,000억원, 기타 전공정장비 4,500억원, 후공정 및 부분품 4,000억원 규모 민간차원에서는 해외 선진제품 Copy-Follow 방식의 내수의존 사업전략 중심에서 최근 해외시장진출을 위한 글로벌 컨소시움 참여타진, 해외 법인설립 등 다각적인 노력 중임 <표 1-11> 반도체장비산업 위상 변화 구 분 1993년 2009년 비 고 업체수 70개 120개 1.7배 종사자 5,500 15, 배 생산액 0.9억불 12.6억불 14배 국산화율 8% 21% 2.5배 정부에서는 시스템반도체 및 장비산업 육성전략 ('10년 9월) 및 대중소기업 동반성 장 종합대책 ('10년 11월)을 통해 아래와 같은 대책이 제시됨 문제점 1) 반도체 장비산업의 발전토대 마련을 통해 반도체 투자가 늘수록 부가가치가 해외 로 유출되는 수입유발 구조도 극복할 필요 2) 장비-수요기업의 수직계열화, 국산장비를 외산장비의 가격협상 수단으로 활용하는 수요기업의 구매관행 등이 발전의 걸림돌 대책 1) '15년 장비 국산화율 35%를 목표로('09년 20%) 장비연구개발 강화 * 반도체장비 R&D는 신성장동력 장비산업 사업예산으로 조달계획 2) R&D측면에서도 고용창출을 뒷받침 할 수 있도록 기존의 R&D사업을 고용연계형 으로 전환('15년까지 2,000명 목표) * 사업자 선정시 고용을 평가요소에 반영하여 고용연계 기업에 가점 제2장 반도체장비 산업환경 분석 29

62 신성장동력장비 개발로드맵 3) 공동개발의 수직적/수평적 협력범위 확대 장비 부품 R&D 지원시, 1차 장비업체를 주관기관으로 수요 대기업, 2차 협력업 체(핵심부품 개발)가 공동으로 참여토록 관련 제도 및 배분금액 개선 '11년 시범사업*을 실시하여 성과 및 문제점을 면밀히 분석하고, '12년부터 2차 협력업체까지 참여하는 공동 R&D 사업 본격 도입 * 산업원천기술개발 사업의 장비 부품개발 과제에 시범 적용 4) 장비재료 성능평가 예산증액 및 2차 협력업체 재료 부품으로 평가확대 5) 수요대기업의 실질적 공동구매 성과도출 수요기업 협회 정부가 공동 참여하는 공동구매위윈회 를 신설하여 단기간 內 공 동구매 가능한 품목 발굴 및 구매촉진 방안 마련 3.4 표준화 동향 공적표준인 ISO, IEC에는 크린룸 및 반도체 소자와 관련된 표준은 존재하나 반도체장 비에 특화된 표준은 전무하며 사실상표준인 SEMI표준에 440여 종이 개발되어 있음 우리나라의 반도체장비 업계에서는 SEMI표준을 많이 참조하고 있으며, 반도체 디바 이스 업체들은 관련 IEC표준을 활용 중 SEMI(Semiconductor Equipment and Materials International, 세계 반도체장비 재료협회) : 세계 반도체 장비 재료산업 및 평판디스플레이(FPD)산업을 대표하는 캘리포니아 산호세에 본부를 둔 국제적인 협회 공적 표준 : ISO, IEC ISO/TC209에 크린룸과 제어된 환경관련으로 표준이 있고, IEC/TC47에 반도체 디바이스 관련한 표준이 존재 사실상 표준 : SEMI SEMI표준은 반도체장비, 재료 및 평판디스플레이 관련하여 약 830여 종의 표준이 있으며 산업계에서는 사실상 국제표준으로 통용됨 30

63 1. 반도체장비 개발로드맵 장비관련 SEMI 표준 현황 분 야 안 전 하드웨어 자동화 소프트웨어 자동화 시 설 패키징 리소그래피 개 요 장비의 전기적, 기계적, 인간공학적 안전설계 지침 규정 유량제어기(MFC)의 시험방법, 웨이퍼 전송 인터페이스 사양 등 반도체장비 부품 및 장비들 간의 기계적 인터페이스에 대한 표준 반도체장비들 간의 통신방식과 장비 모델에 대한 사양서 배관에 대한 사양서, 관 맞춤 결합 시험방법, 가스 및 가스 분배시스템에 대한 사양서 등 각종 리드프레임 사양서 및 반도체 페키지의 열저항 시험 방법 등 포토 레지스트와 관련된 금속 결정법, 포토 마스크 기판에 대한 사양서 등 반도체장비 업체들은 수요 대기업들의 기술적 요구사항 충족에만 전념하여 표준화에 대한 인식이 낮으며 국제적인 사실상 표준화 활동도 매우 저조함 * 일본의 경우 반도체장비 재료 관련 표준화활동을 위하여 15개 전문위원회에 650명의 전문가들이 활동 중임(국내에서는 1개 전문위원회에 30여명의 전문가가 활동 중) 반도체장비는 기술복합체로서 기술범위와 내용이 광범위하여 산업체 주도의 표준화 역량이 낮음. 대기업보다는 중소기업 위주의 제품출시로 표준화 역량이 낮은 이유 중 하나로 인식됨 또한, 반도체장비 기술의 빠른 변화 특성상 시장에서 사실상 표준이 우세하여 공적표 준의 개입여지 협소함. 사실상 표준의 평균 개발기간이 12 ~ 24개월이나 공적표준의 평균 개발기간은 24 ~ 36개월 정도 소요됨 국내 대부분의 반도체장비업체가 SEMI 표준을 따르고 있음. 특히 안전 및 자동화 분 야에 대한 활용비중이 높으며, 특정 안전규격(S2)은 인증과 관련하여 장비 납품 시 필 요함. 830여개의 표준 중 한국에서 제의하여 개발된 표준은 총 3건(디스플레이 분야) 에 불과하며, 전 세계적으로 반도체 장비 재료 분야 표준화 활동 참여는 5% 불과. 사 실상 반도체 분야 표준 활동은 전무한 상태임. 결국 수요는 많으나 한국 사용자의 편 의가 반영되지 않은 표준이 개발되고 있는 실정임 제2장 반도체장비 산업환경 분석 31

64 신성장동력장비 개발로드맵 [ 참고 설명 ] SEMI 현황 SEMI(Semiconductor Equipment and Materials Institute, 반도체 장비 및 재료 연구 소)의 산업표준은 세계반도체 장비 및 재료에 대한 가장 포괄적인 국제 표준임. SEMI 는 반도체 산업계의 자발적인 요구에 의하여 설립된 국제조직으로 본부는 미국 산호 세(San Jose)에 위치 특히 SEMI America, SEMI Japan, SEMI Europe, SEMI Korea, SEMI Taiwan, SEMI China, SEMI Russia, SEMI Singapore 등 전 세계 수요자, 공급자 및 관련업계 전문인 들과 상의하여 표준 규격 안을 주도하고 있음 SEMI 표준화 활동은 주로 미국, 일본, 유럽이 주도하고 있으며 표준의 최종 정책 및 심의기구인 ISC(14명 위원) 산하에 지역표준위원회(Regional Standard Committee, RSC) 를 두고 있음 SEMI 표준규격은 케미컬, 장비 자동화(하드웨어, 소프트웨어), 재료, 마이크로 패턴, 설비 및 안전 등 19 분야 약 830여 개가 제정되어 있으며 매년 세 차례 신규 표준 및 개정 표준이 배포되고 있음 <그림 1-10> SEMI의 지역사무소 및 활동분야 1995년 현재 사용되고 있는 300mm 웨이퍼 표준규격의 정립에 기여하였으며 2006년 에는 제조기술포럼(Manufacturing Technology Forum, MTF)을 구성하여 450mm 웨 이퍼에 대한 표준화 논의 시작 32

65 1. 반도체장비 개발로드맵 450mm 표준화에 대한 적극적인 참여는 미국의 인텔과 대만의 TSMC, 한국의 삼성전 자를 중심으로 진행되고 있음. 하이닉스는 미래의 450mm 웨이퍼 표준화 논의에는 적극적으로 참여하지 않고 있으며 그 추이를 현재 지켜보고 있는 상황 관련업계 및 전문가들은 궁극적으로는 현재의 300mm 체제에서 450mm로 표준화가 전환되어가는 것은 확실하나 경제성 및 기술의 안정성, 생산성을 고려하여 오랜 기간 (10-15년)에 걸쳐서 300mm 체제가 주도(300mm 프라임)하면서 450mm의 표준화가 개발되어 정착될 것으로 예측 우리나라의 경우 300mm 반도체 장비재료에서의 국제표준 참여는 극히 미흡했으며 특히 장비재료 업체의 기술적 수준이 미약하여 현재 830여개에 이르는 SEMI 표준에 참여하여 채택된 것은 한건도 없는 실정 4 반도체장비 산업구조 분석 반도체산업은 최종제품이 아닌 부품산업으로서 他 산업 대비 생산단계가 단순하며, 다 른 조립산업에 비해 소요되는 부품 소재의 수가 적어 협력업체 수가 적고, 1차 협력 관계 비중이 상대적으로 높은 산업임 * (반도체) 소재, 장비 생산(소자) 조립 검사 (자동차) 소재 3차 부품업체 2차 1차 완성차업체 * 1차 협력업체수('09년, 개사) : 반도체 160, 디스플레이 170, 휴대폰 630, 조선 3,000 * 2차 협력업체로 화학 기계업체 등이 있으나, 보통 여러 산업부문에 공급 소자대기업들은 수직계열화를 통해 협력업체 경쟁력 강화 지원 및 장비 재료의 안정 적 공급을 추구하고 있는데 이는 수직계열화 전략 운용이 용이한 국내 업체를 중심으 로 수직계열화 구조를 형성되고 있음. 특히 장치산업의 특성상, 대기업의 생산성 및 품질이 장비에 크게 좌우됨에 따라, 1차 장비업체의 수직계열화가 더욱 심한 편임 2차 협력업체들은 반도체 전용 부품소재 비즈니스가 아닌 디스플레이 LED 태양광 등 타 산업분야에도 진입하고 있음. 최근 장비경쟁력의 원천이 신뢰성 있는 부품기술에 서 기인한다는 인식하에 많은 장비기업들이 협력업체 발굴에 힘을 쏟고 있으며, 주요 경쟁요인으로 관리하고 있음 제2장 반도체장비 산업환경 분석 33

66 신성장동력장비 개발로드맵 <그림 1-11> 반도체산업 계열화 구조 국내 기업 및 인력 현황 반도체산업협회 회원사를 기준으로 장비기업(부품포함)은 약 110개, 종사자는 약 8,000명 규모로 추산되며, 연간 1,000명 이상의 인력수요가 있을 것으로 예측됨. 특 히, 장비기업 내 석박사급 고급 연구인력이 부족한 상황임 <표 1-12> 반도체장비의 국내기업 및 인력 현황 규모별 기업 수(연매출 기준) 5,000억원 1,000 ~ 5,000억원 <1,000억원 고용 1 개 13 개 96개 8,000명 출처 : KSIA 조사 2010 <표 1-13> 반도체산업의 인력 현황 (단위 : 명) 분 야 대기업 중소기업 주요기업 메모리반도체 63,094 63,094 - 삼성, 하이닉스 등 시스템반도체 21,275 17,144 4,131 동부, 엠텍비젼 등 반도체장비 8,090-8,090 주성, IPS 등 반도체재료 7,548-7,548 동진세미캠 등 합 계 100,007 80,238 19,769 34

67 1. 반도체장비 개발로드맵 <표 1-14> 반도체산업의 인력 수급전망 (단위 : 명) 분 야 '10 '11 '12 '13 '14 '15 합계 메모리반도체 2,706 2,806 2,927 3,051 2,911 3,317 17,718 시스템반도체 1,376 1,694 2,047 2,467 3,237 3,899 14,720 반도체장비 ,091 1,209 1,287 1,370 6,779 반도체재료 ,437 합 계 5,299 5,846 6,460 7,141 7,868 9,040 41,654 반도체 장비기업들은 실리콘사이클에 따른 경기변동에 대비하기 위해 대부분 디스플 레이 태양광 LED 장비사업에 진출하고 있음. 장비 Top 25기업 분석 결과 72%는 디 스플레이 장비, 36%는 태양광 장비, 20%는 LED 장비사업을 동시에 진행하고 있음. 또한 약 43%의 기업들은 3가지 이상의 분야에 관여하고 있는 것으로 나타남 <표 1-15> 주요 반도체장비기업 현황 (단위 : 억원, 명) 기업규모 기 업 주요 생산 장비 매출액 고 용 5,000억원 세메스 Track, 세정 7, 주성엔지니어링 증착, 식각 4, 에스에프에이 자동화 장치 4, 원익아이피에스 증착 3, 무진전자 세정, 스크러버 2, DMS 세정, 식각 2, 케이씨텍 세정 2, ,000 ~ 5,000억원 참엔지니어링 식각, 리페어 2, 이오테크닉스 레이저, 마커 2, 제우스 세정, 열처리 1, 세크론 Saw, 몰딩 1, LIG 에이디피 식각 1, 한미반도체 몰딩, 본딩 1, AP시스템 열처리 1, 피에스케이 Asher 유진테크 증착 <1,000억원 유니셈 스크러버, 칠러 에스앤유프리시젼 계측 870 테스 증착, 식각 출처 : KSIA 조사 2011 제2장 반도체장비 산업환경 분석 35

68 신성장동력장비 개발로드맵 국내 Top 10 기업과 글로벌 Top 10 기업을 비교하면, 인력은 8%, 매출은 9%에 불과 한 것으로 나타남 매출 인력 <그림 1-12> 글로벌 Top 10 장비기업과 국내 Top 10 장비기업의 비교 <표 1-16> 국내 반도체장비기업의 글로벌 순위 순 위 증감율 업 체 명 2009년 2010년 2009년 2010년 SEMES 2% 160% Hanmi Semiconductor -40% 295% Jusung Engineering 10% 140% PSK -54% 216% Charm 53% 313% KC Tech -62% 228% * Gartner

69 1. 반도체장비 개발로드맵 종합적인 기술경쟁력 수준은 약 65% 정도로 평가하고 있음 <표 1-17> 주요 장비별 국내 기술수준 분 야 중요도 25% 50% 75% 기술수준 산업경쟁력 노광장비 PR 처리장비 식각 장비 세정건조 장비 열처리 장비 CVD PVD 연마장치(CMP) 측정분석 장비 검사장비(Tester) 조립 장비 * COSAR 조사결과 2009 가치사슬 분석 제품 개발 절차 기업 유형 종합 반도체 회사(삼성전자, 하이닉스 등) Fabless 1) Foundry 2) 조 립 3) 검 사 4) 반도체 제조 장비 5) 반도체 재료 6) 1) 실리콘웍스, 엠텍비젼 등 120개사 2) 동부하이텍 등 3) 앰코코리아, 하나마이크론 등 5개사 4) 아이테스트, 네패스 등 10개사 5) 세메스, 주성엔지니어링 등 111개사 6) 실트론, 동진세미켐 등 34개사 <그림 1-13> 반도체 산업 가치사슬 및 우리기업 분포 제2장 반도체장비 산업환경 분석 37

70 신성장동력장비 개발로드맵 제3장 반도체장비 개발전략 1 SWOT 분석 및 당면현안 1.1 SWOT 분석 강점(Strength) 풍부한 국내 수요처 (전세계 시장의 20% 이상을 유지) 기술저변의 지속적 발전 (국가R&D 지원의 결과 상당수준까지 업그레이드됨) 약점(Weakness) 원천기술 취약 관련 부품소재 기술 열세 기회(Opportunity) 지속적인 한국시장의 규모유지 450mm 대구경 전환에 따른 업계판도 완전재편 가능 위협(Threat) 선진국(사)의 특허위협 선진사의 단가 인하에 따른 지속경쟁 불가 고급인력의 신규 진입에 애로 1.2 당면현안 반도체장비산업이 소자기업의 원가절감을 위한 수단이 아닌 자체경쟁력을 보유한 성 장가능산업으로 거듭나기 위해서는 글로벌화를 반드시 달성해야 하고, 또한 구조적으 로 산업기반 강화가 필수적임 글로벌화 기술개발 전략을 위해서는 1) 글로벌 진출형 핵심장비 개발, 2) 장비완성도 제고를 위한 핵심부분품 개발, 3) 기술선도를 위한 원천기술 확보 및 4) 공급-수요기 업 수요연계를 통한 상용화 개발이 필요할 것임 산업경쟁기반 확충을 위해서는 1) 국내외 성능검증 시스템 확보 및 강화, 2) 글로벌 시장진출 지원체제의 마련, 3) 신규고용 확대 및 인력난 해소 4) 업체 대형화를 위한 자금 지원 등이 반드시 필요함 38

71 1. 반도체장비 개발로드맵 2 반도체장비산업의 발전 비전 2.1 비전 및 목표 비 전 2015년까지 시스템반도체와 장비산업에 본격 진입 시스템반도체 점유율 7.5%, 국산화율 50% 달성 반도체장비 점유율 13%, 국산화율 35% 달성 시스템반도체 장비부문 고용을 3.5만명에서 5.7만명으로 확대 세계 최고수준의 중소 중견 시스템반도체 장비기업 30개사 육성 세 부 목 표 국 내 생산액 세계시장 점유율 ('09년) ('15년) ( 20년) 시스템반도체 56억불 210억불 390억불 반도체 장비 13.6억불 56억불 142억불 시스템반도체 3.0% 7.5% 10% 반도체 장비 8.2% 13% 18% 고 용 시스템반도체 2.5만명 4만명 5.5만명 반도체 장비 1만명 1.7만명 2.5만명 전 핵심기술 전략적 개발 중소 중견 팹리스 장비 및 파운드리 대표기업 육성 략 중소 중견기업 중심 반도체 클러스터 구축 신규고용 창출 및 인력애로 해소 - 메모리 반도체 분야는 시장에 맡기되 정부는 기업애로 해소에 중점 지식경제부 시스템반도체 및 장비산업 육성전략 에서 발췌(2010년 9월) 제3장 반도체장비 개발전략 39

대표이사등의 확인, 서명 I. 회사의 개요 1. 회사의 개요 가. 회사의 법적, 상업적 명칭 당사의 명칭은 '엘아이지에이디피주식회사'('LIG에이디피주식회사'라 칭하며), 영문으 로는 'LIG ADP Co.,Ltd.'(약호 LIG ADP)라 표기합니다. 나. 설립일자

대표이사등의 확인, 서명 I. 회사의 개요 1. 회사의 개요 가. 회사의 법적, 상업적 명칭 당사의 명칭은 '엘아이지에이디피주식회사'('LIG에이디피주식회사'라 칭하며), 영문으 로는 'LIG ADP Co.,Ltd.'(약호 LIG ADP)라 표기합니다. 나. 설립일자 사 업 보 고 서 (제 10 기) 사업연도 2010년 01월 01일 2010년 12월 31일 부터 까지 금융위원회 한국거래소 귀중 2011년 3월 31일 회 사 명 : 엘아이지에이디피주식회사 대 표 이 사 : 허광호 본 점 소 재 지 : 경기도 성남시 상대원동 333-5 (전 화) 031-778-1114 (홈페이지) http://www.ligadp.com 작

More information

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제 분 기 보 고 서 (제 18 기) 사업연도 2012년 01월 01일 2012년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2012 년 5 월 15 일 회 사 명 : 주성엔지니어링(주) 대 표 이 사 : 황 철 주 본 점 소 재 지 : 경기도 광주시 오포읍 능평리 49 (전 화) 031-760-7000 (홈페이지) http://www.jseng.com

More information

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Vertical Probe Card for Wafer Test Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Life Time: 500000

More information

<322D303720C2F7BCBCB4EBBCBAC0E5B5BFB7C2BBEABEF7C0B0BCBA2E687770>

<322D303720C2F7BCBCB4EBBCBAC0E5B5BFB7C2BBEABEF7C0B0BCBA2E687770> 참여정부 정책보고서 2-07 차세대 성장동력산업 육성 - 미래산업 창출을 위한 블루오션 전략 - 2008 작성중인 초안자료 안보전략비서관: 박 선 원 행정관: 김 호 홍 외교부 북핵외교기획단 북핵정책과 : 손 창 호 발 간 사 참여정부가 혁신과 통합을 표방하며 출범한 지 5년, 이제 그 성과와 한계에 대한 스스로의 평가를 국민들 앞에 내놓을

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

<31302E204D43545F47535FC3D6C1BEBAB8B0EDBCAD2E687770>

<31302E204D43545F47535FC3D6C1BEBAB8B0EDBCAD2E687770> 2011년도 부품 소재혁신연구회 MCT Global Scoreboard 제 출 문 한국산업기술진흥원장 귀 하 본 보고서를 2011년도 부품 소재혁신연구회 MCT Global Scoreboard (지원기간: 2012. 1. 2 ~ 2012. 3. 31) 과제의 최종보고서로 제출합니다. 2012. 3. 31 연구회명 : MCT K-Star 발굴 연구회 (총괄책임자)

More information

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc Research Center 2005.4.26 에이디피 (079950) 2005년, 두 마리 토끼를 잡는다 Analyst 류제현 (02) 3774-1418 jayryu@miraeasset.com Initiate BUY Target Price 13,600원 Price(4/25) 9,840원 6개월 목표주가 13,600원, BUY 의견으로 Initiate 목표주가

More information

DISPLAY CLASS Electronic Information Displays CRT Flat Panel Display Projection Emissive Display Non Emissive Display Cathode Ray Tube Light Valve FED

DISPLAY CLASS Electronic Information Displays CRT Flat Panel Display Projection Emissive Display Non Emissive Display Cathode Ray Tube Light Valve FED 2002. 4. 4. DISPLAY CLASS Electronic Information Displays CRT Flat Panel Display Projection Emissive Display Non Emissive Display Cathode Ray Tube Light Valve FED VFD PDP OLED ELD LED LCD ECD DMD DC Type

More information

Vol. 234 2012. August 04 28 38 54 KCC Inside Special Theme KCC Life KCC News 04 KCC 하이라이트Ⅰ KCC 울산 신공장 준공식 거행 06 KCC 하이라이트Ⅱ 김천공장 통전식 및 안전 기원제 실시 08 KCC

Vol. 234 2012. August 04 28 38 54 KCC Inside Special Theme KCC Life KCC News 04 KCC 하이라이트Ⅰ KCC 울산 신공장 준공식 거행 06 KCC 하이라이트Ⅱ 김천공장 통전식 및 안전 기원제 실시 08 KCC www.kccworld.co.kr 08 2012. August vol. 234 KCC Inside_ KCC 하이라이트Ⅰ KCC 울산 신공장 준공식 거행 Special Theme_ Essay 편한 마음으로 여름을 이기자 KCC Life_ 책과 함께Ⅰ 스티븐 호킹의 시간의 역사 & 위대한 설계 KCC News_ KCC News KCC건설 News Vol. 234

More information

I. 회사의 개요 1. 회사의 개요 가. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기 ㆍ반기보고서를 제출하는 경우에 한함) 자본시장과 금융투자업에 관한 법률 시행령 부칙 <제20947호> 제23조에따라 2012년 1월 1일 이후 최초로

I. 회사의 개요 1. 회사의 개요 가. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기 ㆍ반기보고서를 제출하는 경우에 한함) 자본시장과 금융투자업에 관한 법률 시행령 부칙 <제20947호> 제23조에따라 2012년 1월 1일 이후 최초로 반 기 보 고 서 (제 31 기) 사업연도 2012년 01월 01일 2012년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2012년 8월 14일 회 사 명 : 엠케이전자(주) 대 표 이 사 : 최 윤 성 본 점 소 재 지 : 경기도 용인시 처인구 포곡읍 금어리 316-2 (전 화)031-330-1900 (홈페이지) http://www.mke.co.kr

More information

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환) KRP Report (3회차) GOLDEN BRIDGE Research - 스몰켑 - Not Rated 테스 (095610) 공정미세화 추세의 수혜, 태양광 장비의 매출 가시화로 견조한 성장 작성일: 2009.11.18 발간일: 2009.11.19 3Q 실적 동사의 3분에 매출과 영업이익은 각각 141.5 억원(QoQ 142%), 6 억원(흑전)이다. 목표가

More information

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc 212년 7월호 리서치센터 Mid Small-cap Corporate Day 후기 하반기 관심을 가져야 할 중소형 유망주 212. 6. 26 스몰캡분석 한화증권 Mid Small-cap Team은 5월 31일부터 6월 13일까지 17개 기업에 대해 Corporate Day 를 진행하였습니다. 이 기업들에 대한 IR 후기를 제시합니다. 최근 그리스 2차 총선에서

More information

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD Research Center 메모리반도체 철강산업1 TFTLCD 철강산업2 유통산업 휴대폰산업 1 유틸리티 산업 휴대폰산업 2 자동차 산업 21 정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의

More information

Contents

Contents 2006. 5. 2 Intel, Qualcomm MK TANAKA, Heraus STS LF : BGA : ASE Amkor STATSChiPAC SPIL ASTAT LF : BGA : IBIDEN Shinko, Nanya MK GDS, IBIDEN, Compeq, Nanya Contents Gold Wiring Bumping Lead Frame Package

More information

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다.

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다. 차세대 반도체 공정, 노광에서 식각 증착 등으로 무게 이동 핀펫(FinFET) 3차원(3D) 낸드플래시 등 차세대 반도체 시장을 놓고 국내 장비 업체들의 기대감이 커지고 있다. 그동안 반도체 미세공정을 주도한 핵심 장비는 노광기였으나, 해외 선두 장비 업체들이 극자외선(EUV) 등 차세대 노광기 개발에 한계를 보이면서 반도체 업체들은 최근 화학 증기증착(CVD)

More information

분 기 보 고 서 (제 13 기) 사업연도 2014년 01월 01일 2014년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2014년 11월 21일 제출대상법인 유형 : 면제사유발생 : 주권상장법인 해당사항 없음 회 사 명 : 주식회사 신화콘텍 대 표 이 사 :

분 기 보 고 서 (제 13 기) 사업연도 2014년 01월 01일 2014년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2014년 11월 21일 제출대상법인 유형 : 면제사유발생 : 주권상장법인 해당사항 없음 회 사 명 : 주식회사 신화콘텍 대 표 이 사 : 목 분 기 보 고 서...1 대표이사 등의 확인...2 I. 회사의 개요...3 1. 회사의 개요...3 2. 회사의 연혁...4 3. 자본금 변동사항...7 4. 주식의 총수 등...8 5. 의결권 현황...11 6. 배당에 관한 사항 등...11 II. 사업의 내용...14 III. 재무에 관한 사항...45 IV. 감사인의 감사의견 등...50 V. 이사의

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

영암군 관광종합개발계획 제6장 관광(단)지 개발계획 제7장 관광브랜드 강화사업 1. 월출산 기( 氣 )체험촌 조성사업 167 (바둑테마파크 기본 계획 변경) 2. 성기동 관광지 명소화 사업 201 3. 마한문화공원 명소화 사업 219 4. 기찬랜드 명소화 사업 240

영암군 관광종합개발계획 제6장 관광(단)지 개발계획 제7장 관광브랜드 강화사업 1. 월출산 기( 氣 )체험촌 조성사업 167 (바둑테마파크 기본 계획 변경) 2. 성기동 관광지 명소화 사업 201 3. 마한문화공원 명소화 사업 219 4. 기찬랜드 명소화 사업 240 목 차 제1장 과업의 개요 1. 과업의 배경 및 목적 3 2. 과업의 성격 5 3. 과업의 범위 6 4. 과업수행체계 7 제2장 지역현황분석 1. 지역 일반현황 분석 11 2. 관광환경 분석 25 3. 이미지조사 분석 45 4. 이해관계자 의견조사 분석 54 제3장 사업환경분석 1. 국내 외 관광여건분석 69 2. 관련계획 및 법규 검토 78 3. 국내 외

More information

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63>

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63> 2013. 09. 09 [유진 더리치 스몰캡] 이슈 분석 갤럭시노트3, 갤럭시기어 출시 수혜주 스몰캡 팀장 박종선 Tel. 368-6076 jongsun.park@eugenefn.com 스몰캡 담당 윤혁진 Tel. 368-6499 hjyoon@eugenefn.com Summary < Samsung Unpacked 2013 Episode 2> 행사 개최 지난

More information

Microsoft Word - 150810_KONEX_통합본

Microsoft Word - 150810_KONEX_통합본 Contents Ⅰ. 코넥스시장, 어떤 기업에 관심을 둘 것인가?... 5 Ⅱ. 코넥스시장 소개... 7 코넥스시장 개요 코넥스시장 특징 III. 코넥스시장 현황... 11 IV. 기업 분석... 17 디피앤케이(1897) 중국에 도전하는 홈쇼핑 전문 패션업체 엑시콘(9287) 경쟁력 있는 반도체 검사장비 업체 아이진(18549) 검증된 첨단 기술력을 보유한

More information

2005 4 Creating the future of Display and Energy Samsung SDI 2006. 1. 18 1 05 4 05 4 ( ) 19,656 22,156 + 2,500 12.7% 1,155 1,424 + 269 23.3% (%) (5.9%) (6.4%) 1,101 803-298 -27.1% (%) (5.6%) (3.6%) 1,242

More information

FTTH 기술발표

FTTH 기술발표 2 3 xdsl /UTP FTTH / 2002 2005 2010 2 Mbps 6 Mbps 100Mbps * 10 Mbps 45Mbps 155Mbps FTTO / FTTD / Digital (DBS) 53Mbps/4km LMDS ADSL : Asymmetric Digital Subscriber Line HDSL : High speed Digital Subscriber

More information

I. 회사의 개요 1. 회사의 개요 1. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기ㆍ 반기보고서를 제출하는 경우에 한함) 상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배관계 근거 주요종속 회사 여부 (주)이수엑사보드 2004년

I. 회사의 개요 1. 회사의 개요 1. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기ㆍ 반기보고서를 제출하는 경우에 한함) 상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배관계 근거 주요종속 회사 여부 (주)이수엑사보드 2004년 분 기 보 고 서 (제 40 기) 사업연도 2011년 01월 01일 2011년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2011년 11월 14일 회 사 명 : (주)이수페타시스 대 표 이 사 : 홍정봉 본 점 소 재 지 : 대구광역시 달성군 논공읍 본리리 29-54 (전 화) 053-610-0300 (홈페이지) http://www.petasys.com

More information

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디 Sector Report 2014. 07. 07 스몰캡 하반기 주목할 만한 중소형주 4선 선명해지는 실적 개선 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 김태봉 퀀트/스몰캡 (02) 3215-1586 tbkim0901@bsfn.co.kr [아이원스] 반도체 및 디스플레이 정밀가공 부품업체로 투자 포인트는 다음과 같다.

More information

<C1F6BFAA5357BBEABEF7C0B0BCBAC1A4C3A5BFACB1B82866696E616C292E687770>

<C1F6BFAA5357BBEABEF7C0B0BCBAC1A4C3A5BFACB1B82866696E616C292E687770> 지역 소프트웨어산업 육성정책 연구 2004. 10. 11 KIPA/KIET 제 출 문 한국소프트웨어진흥원장 귀하 본 보고서를 지역 소프트웨어산업 육성정 책 연구 에 관한 연구용역의 최종 보고서로 제출합니다. 2004년 10월 산업연구원장 오 상 봉 연 구 수 행 자 연구책임자: 최봉현 (산업연구원 연구위원) 연구참여자: 김홍석 (산업연구원 부연구위원) 허문구

More information

상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배관계 근거 주요종속 회사 여부 청도우결의 전자유한공 사 2002.02.17 중국 청도 커넥터 조립 6,769,916 지분율 100% 지배회사에 미치는 영향이 큼 청도우결의 무역유한공 사 2008.04.15 중국 청도

상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배관계 근거 주요종속 회사 여부 청도우결의 전자유한공 사 2002.02.17 중국 청도 커넥터 조립 6,769,916 지분율 100% 지배회사에 미치는 영향이 큼 청도우결의 무역유한공 사 2008.04.15 중국 청도 반 기 보 고 서 (제 14 기 반기) 사업연도 2012년 01월 01일 2012년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2012년 8월 14일 회 사 명 : (주)우주일렉트로닉스 대 표 이 사 : 노영백 본 점 소 재 지 : 경기도 화성시 양감면 사창리 195-14 (전 화) 031-371-3700 (홈페이지) http://www.uju.com

More information

Microsoft Word - 2012 중소형주 20선_DTP.doc

Microsoft Word - 2012 중소형주 20선_DTP.doc 2011년 11월 30일 이슈분석 Mid / Small - Cap Top 20 年 末 年 始 强 小 株 덕산하이메탈 멜파스 인터플렉스 우주일렉트로닉스 고영 영원무역 바이오랜드 매일유업 현대그린푸드 에스원 삼익악기 예림당 후성 넥센타이어 한솔제지 하이록코리아 게임빌 포스코ICT SBS 코리안리 리서치센터 02-2003-2904 dy.park@hdsrc.com

More information

I. 회사의 개요 1. 회사의 개요 (1) 회사의 법적ㆍ상업적 명칭 당사의 명칭은 주식회사 이그잭스라고 표기합니다. 영문으로는 exax Inc.라 표기합니다. (2) 설립일자 당사는 1999년 장("KOSDAQ")에 상장하였습니다. 12월 22일에 설립되었으며, 200

I. 회사의 개요 1. 회사의 개요 (1) 회사의 법적ㆍ상업적 명칭 당사의 명칭은 주식회사 이그잭스라고 표기합니다. 영문으로는 exax Inc.라 표기합니다. (2) 설립일자 당사는 1999년 장(KOSDAQ)에 상장하였습니다. 12월 22일에 설립되었으며, 200 반 기 보 고 서 (제 13 기) 사업연도 2011년 01월 01일 2011년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2011년 08월 16일 회 사 명 : (주)이그잭스 대 표 이 사 : 조근호 본 점 소 재 지 : 경상북도 구미시 공단동 310 (전 화) 054-461-7395 (홈페이지) http://www.exax.co.kr 작 성 책 임

More information

<3035C0CEB9AEC1A4C3A5BFACB1B8C3D1BCAD2076362E687770>

<3035C0CEB9AEC1A4C3A5BFACB1B8C3D1BCAD2076362E687770> 경 제 인 문 사 회 연 구 회 인문정책연구총서 2005-06 문화산업 분야에서의 인문학 활용현황과 활성화 방안 연구책임자 : 옥성수(한국문화관광정책연구원) 공동연구자 : 심광현(한국예술종합학교) 이상빈(한국외대) 문희경(고려대) 경제 인문사회연구회 이 보고서는 경제 인문사회연구회 2005년 인문정 책연구사업 의 일환으로 수행된 연구과제 중 하나입니다. 이

More information

(2) 설립일자 당사는 1999년 장("KOSDAQ")에 상장하였습니다. 12월 22일에 설립되었으며, 2002년 6월 25일에 한국거래소 코스닥시 (3) 본사의 주소, 전화번호, 홈페이지 주소 가. 본사의 주소 : 경상북도 구미시 공단동 310 나. 전화번호 : 05

(2) 설립일자 당사는 1999년 장(KOSDAQ)에 상장하였습니다. 12월 22일에 설립되었으며, 2002년 6월 25일에 한국거래소 코스닥시 (3) 본사의 주소, 전화번호, 홈페이지 주소 가. 본사의 주소 : 경상북도 구미시 공단동 310 나. 전화번호 : 05 분 기 보 고 서 (제 13 기) 사업연도 2011년 01월 01일 2011년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2011년 05월 16일 회 사 명 : (주)이그잭스 대 표 이 사 : 조근호 본 점 소 재 지 : 경상북도 구미시 공단동 310 (전 화) 054-461-7395 (홈페이지) http://www.exax.co.kr 작 성 책 임

More information

( Full Automatic Printer ) 작용업종 : Tube Light ( 형광등 1.2m / 2.4m) 가로등조명. 인테리어산업용조명 Loader 1.2m Stencil Solder LED LD812V Reflow 8 to 10 Hot Air Convecti

( Full Automatic Printer ) 작용업종 : Tube Light ( 형광등 1.2m / 2.4m) 가로등조명. 인테리어산업용조명 Loader 1.2m Stencil Solder LED LD812V Reflow 8 to 10 Hot Air Convecti Autotronik-SMT GmbH LED Assembly 위한장비구성도 Printer LED Pick & Place Reflow V-Cutting Model 1 1.2m Tube LED " 양산 " 장비 Full Vision Auto Printer 1.2m LED Pick & Place LED Reflow 10 Zone LED Multi V-Cutting

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

May 2007 TongYang IT Hardware Monthly

May 2007 TongYang IT Hardware Monthly May 27 TongYang IT Hardware Monthly 1-5.2% -16,139 593-2.4% -7.4% -7,59-3,683 2 4.2% -7,283 12,392-3.% 1.% -2,488-8,472 3 2.5% -8,95-1,796-1.2% 1.2% -359-3,717 1 1.3% -31,516 2,188-6.5% -5.3% -1,356-15,873

More information

ITMagazine2010-09월.indd

ITMagazine2010-09월.indd 삼성전자 스마트폰 어플리케이션 프로세서 1. 귀사의 스마트폰 어플리케이션 프로세서 제품을 소 개해 주십시오. 삼성전자는 2000년대 초반부터 PDA폰 용 어플리케이션 프로세서 제품을 생산하는 것을 시작으로 지금까지 격변 하는 글로벌 하이엔드 폰 시장의 니즈에 맞는 고성능의 어플리케이션 프로세서를 꾸준히 개발해 왔습니다. 또한 2008년 스마트폰용 하이엔드 어플리케이션

More information

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx) 산업분석 반도체/디스플레이 이베스트투자증권 어규진입니다. 작년부터 반도체/디스플레이 업황이 뜨겁습니다. Gate 가 부족하기 때문이죠. 반도체와 디스플레이의 수급이 타이트하다는 의미입니다. 과거 반도체/디스플레이 1 차 업황호조가 공격적인 투자집행에 따른 대규모 라인증설 때문이었다면, 금번 2 차 업황호조는 대규모 투자에 따른 과다경쟁 없이도 공정의 미세화,

More information

대표이사 확인서 I. 회사의 개요 1. 회사의 개요 가. 회사의 법적, 상업적 명칭 당사의 명칭은 동양피엔에프 주식회사라고 표기합니다. 약칭으로는 동양피엔에프(주) 또는 영문으로는 DONGYANG P&F CO.,LTD라고 표기합 니다. 나. 설립일자 당사는 1999년

대표이사 확인서 I. 회사의 개요 1. 회사의 개요 가. 회사의 법적, 상업적 명칭 당사의 명칭은 동양피엔에프 주식회사라고 표기합니다. 약칭으로는 동양피엔에프(주) 또는 영문으로는 DONGYANG P&F CO.,LTD라고 표기합 니다. 나. 설립일자 당사는 1999년 반 기 보 고 서 (제 14 기) 사업연도 2012.01.01 부터 2012.06.30 까지 금융위원회 한국거래소 귀중 2012 년 08 월 13 일 회 사 명 : 동양피엔에프 주식회사 대 표 이 사 : 조 좌 진 본 점 소 재 지 : 서울특별시 금천구 가산동 345-50 아이티프리미어타워 17층, 18층 (전 화) 02-2106-8000 (홈페이지) http://www.dypnf.com

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

<C1A4C3A5BFACB1B82031312D3420C1A4BDC5C1FAC8AFC0DAC0C720C6EDB0DFC7D8BCD220B9D720C0CEBDC4B0B3BCB1C0BB20C0A7C7D120B4EBBBF3BAB020C0CEB1C720B1B3C0B020C7C1B7CEB1D7B7A520B0B3B9DF20BAB8B0EDBCAD28C7A5C1F6C0AF292E687770>

<C1A4C3A5BFACB1B82031312D3420C1A4BDC5C1FAC8AFC0DAC0C720C6EDB0DFC7D8BCD220B9D720C0CEBDC4B0B3BCB1C0BB20C0A7C7D120B4EBBBF3BAB020C0CEB1C720B1B3C0B020C7C1B7CEB1D7B7A520B0B3B9DF20BAB8B0EDBCAD28C7A5C1F6C0AF292E687770> 제 출 문 보건복지부장관 귀 하 이 보고서를 정신질환자의 편견 해소 및 인식 개선을 위한 대상별 인권 교육프로그램 개발 연구의 결과보고서로 제출합니다 주관연구기관명 서울여자간호대학 산학협력단 연 구 책 임 자 김 경 희 연 구 원 김 계 하 문 용 훈 염 형 국 오 영 아 윤 희 상 이 명 수 홍 선 미 연 구 보 조 원 임 주 리 보 조 원 이 난 희 요

More information

2013년 중소기업 플러스 제4호.hwp

2013년 중소기업 플러스 제4호.hwp 2014년도 정부연구개발 투자방향 및 기준(안) 살펴보기 미래창조과학부 본 포커스에서는 4.24(수) 제1회 국가과학기술심의회 운영위원회 개최 결과 의결한 2014년도 정부연구개발 투자방향 및 기준(안) 자료의 주요내용을 살펴 보고자 함 1 박근혜정부의 연구개발 투자 기본방향 목 표 과학기술을 통한 국민 삶의 질 향상과 창조경제 구현 정부의 R&D 중점투자분야

More information

융합WEEKTIP-2016-2-4data_up

융합WEEKTIP-2016-2-4data_up 2016 FEBRUARY vol.08 08 융합 OLED 봉지기술 (Encapuslation ) 의 현황과 전망 김의권 융합연구정책센터 발행일 2016. 02. 29 발행처 융합정책연구센터 융합 2016 FEBRUARY vol.08 OLED 봉지기술(Encapuslation )의 현황과 전망 김의권 융합연구정책센터 개요 봉지기술은 적용분야와 관계없이 OLED

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

생산부 2년이상 ~ 10년 미만 학력 무관 경기도 안성/천안 인센티브제, 장기근속 포 상(해외여행), 기숙사제 공, 사내식당(조,중,석식) 휴가비, 경조사비 <우대사항> - 압출기계 관련 경력자 우대 <직무내용> - 플라스틱 Compound 압출생산 <우대사항> - 압

생산부 2년이상 ~ 10년 미만 학력 무관 경기도 안성/천안 인센티브제, 장기근속 포 상(해외여행), 기숙사제 공, 사내식당(조,중,석식) 휴가비, 경조사비 <우대사항> - 압출기계 관련 경력자 우대 <직무내용> - 플라스틱 Compound 압출생산 <우대사항> - 압 고졸 모집공고수 : 48개사, 89개(4/23) 회사명 직무 모집직종 경력구분 학력 (주)디에이피 인쇄회로기판(PCB) 제조 직 신입,경력(2~7년) 고등학교 졸업 주,야 교대근무 가능자, 인근거주자 경기 안산 성과상여 분기별지급 (매 출목표 달성시) 화학관련 전공 및 업무 4대보험 적용 경험자 우대, 인근거주자 명절선물 우대 퇴직금(퇴직연금),

More information

휴대폰부품 213. 3.18 아모텍, 자화전자 투자 지표 요약 아모텍: 투자의견 BUY, 목표 22,원 (단위: 억원) 자화전자: 투자의견 BUY, 목표 32,원(상향) 21 211 212P 213E 214E 21 211 212P 213E 214E 매출액 98 933

휴대폰부품 213. 3.18 아모텍, 자화전자 투자 지표 요약 아모텍: 투자의견 BUY, 목표 22,원 (단위: 억원) 자화전자: 투자의견 BUY, 목표 32,원(상향) 21 211 212P 213E 214E 21 211 212P 213E 214E 매출액 98 933 213. 3. 18 Overweight (Maintain) 휴대폰부품 Get Ready 4 Galaxy S4! 휴대폰/통신장비 Analyst 이재윤 2) 3787-475 jlee1855@kiwoom.com 전기전자 Analyst 김지산 2) 3787-4862 jisan@kiwoom.com 지난 금요일 발표된 갤럭시 S4의 진보된 사양과 iphone 5 에 대한

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

歯4.PDF

歯4.PDF 21 WDM * OADM MUX/DEMUX EDFA Er + Doped Fiber Isolator Isolator GFF WDM Coupler 1.48 um LD 1.48 um LD Transmitter Receiver MUX EDFA OADM DEMUX Switch Fiber Optics Micro Optics Waveguide Optics Isolator,

More information

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드]

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드] 전자업계 국내외 투자 활발 '어려울 때 투자하라' 삼성 LG전자, 베트남에 앞다퉈 라인 증설 아프리카에도 눈돌려 2014.10.05 삼성전자가 이번 주 3분기 잠정실적(가이던스) 발표에서 충격적인 성적표를 내놓을 것으로 예상되는 가운데 본격적인 실적 하강 국면에서도 국내외 투자를 꾸준히 진행하고 있다고 연합뉴스가 전했다. 스마트폰 사업을 정상궤도에 끌어올린

More information

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770>

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770> 2011-11호 2011-11호 2011. 12. 9 목 차 Ⅰ. IT 수출입 동향 1 1. 개요 3 2. 주요 품목별 수출 동향 6 3. 주요 국가별 수출 동향 43 4. 수입 및 수지 동향 46 5. 2011년 11월 IT산업 수출입 통계(잠정) 48 Ⅱ. 월간이슈 55 유럽 재정위기와 IT수출 1. 유럽 재정위기 57 2. 對 EU IT수출 동향 60

More information

<4D6963726F736F667420506F776572506F696E74202D204D5249B1E2BCFAB5BFC7E2B9D7BDC3C0E5C7F6C8B25F7665725F325B315D205BC8A3C8AF20B8F0B5E55D>

<4D6963726F736F667420506F776572506F696E74202D204D5249B1E2BCFAB5BFC7E2B9D7BDC3C0E5C7F6C8B25F7665725F325B315D205BC8A3C8AF20B8F0B5E55D> MRI 기술동향 및 시장현황 2011.10 Ⅰ.기술 동향 MRI 기술 Road Map 1946년 Bloch & Purcell, 핵 자기공명 현상 발견(1952년 노벨상 수상) 1973년 Lauterbur, 경사자계 시스템(Gradient System) 고안, 최초의 MRI 영상 획득(2003년 노벨상 수상) 1975년 Ernst, MRI에 퓨리에 변환, 신호

More information

Ceramic Innovation `

Ceramic Innovation ` Ceramic Innovation www.lattron.com 1. 2. -NTC thermistor -Piezoelectric Ceramic - RF Components 3. 1-1. 1. : Lattron Co., Ltd (Latticed + Electron) 2. : / 3. : 1998.01.20 4. 1688-24 : 306-230 82-42-935-8432

More information

3. 운영절차 운영절차 신 청 공 고 분과심사 총괄심사 시 상 식 수상작 전시 기업, 단체, 개인, 추천권자 3월 25일(금) 마감 서류심사 및 프리젠테이션 (4월 첫째 주) 제품 데모 및 프리젠테이션 (4월 셋째 주) 5월 11일, 'World IT Show 2011

3. 운영절차 운영절차 신 청 공 고 분과심사 총괄심사 시 상 식 수상작 전시 기업, 단체, 개인, 추천권자 3월 25일(금) 마감 서류심사 및 프리젠테이션 (4월 첫째 주) 제품 데모 및 프리젠테이션 (4월 셋째 주) 5월 11일, 'World IT Show 2011 제18회 대한민국 멀티미디어 기술대상 1. 개요 제정취지 미래 신성장동력을 창출하고 ICT분야 및 디지털 융합 첨단기술을 발굴하기 위해 제정된 본 상은 방송 통신 시장 활성화와 세계적 선도국가 건설에 크게 기여해 왔습니다. 한국경제신문과 한국정보통신진흥협회가 지난 1994년부터 공동 주관 해 온 이 상은 국내 최고 권위의 시상제도입니다. 명 칭 제18회 대한민국멀티미디어기술대상

More information

2007KIP연2-05 연구용역보고서 중소기업 및 지방기업에 대한 정부조달 지원정책의 비용-편익(BC)분석 연구 2008. 1 제 출 문 조달청장 귀하 본 보고서를 중소기업 및 지방기업의 정부조달 지원정책의 비용-편익 (BC) 분석연구 의 최종보고서로 제출합니다. 2008. 1 한 국 조 달 연 구 원 원 장 신 삼 철 연구책임자 김정포 부연구위원 연구참여진

More information

0922 Monitor22...._kor_1

0922 Monitor22...._kor_1 본 사용설명서는 사용자가 언제라도 볼 수 있는 장소에 보관하십시오. TV튜너의 내장으로, 모니터 기능외에 TV로도 사용할 수 있는 모니터입니다. 좁은 공간도 효율적으로 이용할 수 있는 Slim하고 Simple한 디자인. 인체공학적인 디자인으로 사용 편리성 제고. 와이드형 TFT LCD 패널의 채용으로 넓은 화면의 구현. 최대 해상도 680 x 050(WSXGA+)지원.

More information

Microsoft PowerPoint - 주간 NEWS-395회(2014.05.11) [호환 모드]

Microsoft PowerPoint - 주간 NEWS-395회(2014.05.11) [호환 모드] 반도체 디스플레이 시장서 소재-장비 협업이 사업 성패 가른다 반도체 디스플레이 시장에서 소재 장비 간 협업이 성패를 가를 수 있는 중요한 요소로 떠올랐다. 향후 반도체 디스플레이 관련 연구개발(R&D) 프로젝트도 양대 후방 산업의 협력을 통해 시너지를 내는 방향으로 진행돼야 한다는 게 전문가들 의견이다. 11일 업계에 따르면 최근 반도체 디스플레이 시장에서

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

KEIT PD(15-10)-내지.indd

KEIT PD(15-10)-내지.indd / KEIT PD / KEIT PD / SUMMARY,, 13 300, 15 341, 17 367 5.2% 13 6,000 2%, 90%,,,,,,, ㆍ ㆍ,,, KEIT PD Issue Report PD ISSUE REPORT OCTOBER 2015 VOL 15-10 1. (AC DC, DC AC), (, ),, MOSFET, IGBT(Insulated Gate

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770>

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770> 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 연구위원 이 주 완 joowanlee@hanaif.re.kr 02)2002-2683 요 약 IT 산업에 미치는 영향 프리미엄 제품 공급자 중심으로 재편 스마트폰은 단순히 기능이 추가된

More information

CONTENTS.HWP

CONTENTS.HWP i ii iii iv v vi vii viii ix x xi - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 -

More information

- i - - ii - - i - - ii - - i - - ii - - iii - - iv - - v - - vi - - vii - - viii - - ix - - x - - xi - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 -

More information

INDUS-8.HWP

INDUS-8.HWP i iii iv v vi vii viii ix x xi 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64

More information

2015-12 전자업종.hwp

2015-12 전자업종.hwp 2015-12 이슈페이퍼 2016년 금속산업 전망: 전자업종 이유미 (금속노조 노동연구원 객원연구위원) 1. 전자업종 생산동향과 전망 2015년 9월(누적) 정보통신방송기기 1) 생산액(매출액)이 242조9,272억원으로 전년대비 1.8% 하락했고 수출액 역시 1,295억 2,474만달러로 2.1% 하락했다. 휴대폰 생산액 및 수출액 (단위:생산액/억원,

More information

untitled

untitled CLEBO PM-10S / PM-10HT Megapixel Speed Dome Camera 2/39 3/39 4/39 5/39 6/39 7/39 8/39 ON ON 1 2 3 4 5 6 7 8 9/39 ON ON 1 2 3 4 10/39 ON ON 1 2 3 4 11/39 12/39 13/39 14/39 15/39 Meg gapixel Speed Dome Camera

More information

013년도 전주ᆞ완주 탄소산업 : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) : ( ) ~ ~ 1. 최종 사업목표 및 내용 탄소 관련산업동향과 국가정책에 부응하여 탄소산업 중심의 테마형 집적화단지(Carbon Valley) 조성을 위한 탄소기업 유치 및 육성, 산업기반의 구축 등에 관한 전략적 접근이 필요함

More information

Information Memorandum Danam Communications Inc

Information Memorandum Danam Communications Inc Information Memorandum 2000. 7. 6 Danam Communications Inc 2 TABLE OF CONTENTS... 5 I.... 6 1....6 2....7 3....9 4....10 5....11 6....12 7....13 8....14 II.... 16 1....16 2....16 3....16 4....17 III. R&D...

More information

레이아웃 1

레이아웃 1 한국서비스품질우수기관 인증 (지식경제부기술표준원, 2011.01.28) BTP Story Vol. 60 2012.06.Vol. 60 소식지 BUSAN TECHNOPARK STORY MAGAZINE 소식지 2012. 6 www.btp.or.kr Wanner Be 마 음 의 窓 청춘이란 인생의 어떤 시기가 아니라 마음가짐이다 Busan TechnoPark Story

More information

1. kdia.hwp

1. kdia.hwp 상해국제 터치스크린 전시회 참관기 전시회를 통해 살펴본 터치산업 트렌드 ( 14.5.7(수)~5.9(금) / 상해신국제박람센터) - 미래 터치기술, 다양한 Application, 신기술 터치 소재 - 전시회 주요 제품 동향 ㅇ 터치 모듈 : 다양한 수요자의 요구에 따른 맞춤형 터치패널 전시 ㅇ 장비

More information

Microsoft Word - 131001 Mid Small Cap_10월호_최종수정.doc

Microsoft Word - 131001 Mid Small Cap_10월호_최종수정.doc 213년 1월호 리서치센터 한화 Mid Small-cap 신규사업 가시화로 중장기 성장동력 확보 213. 1. 1 스몰캡분석 신규사업을 통해 한 단계 도약하는 업체들에 주목 기업들은 성장하기 위해 끊임없이 노력한다. 이를 위해 기업들은 기존 사업을 강화하거나 신규 사업을 통해서 성장 발판을 마련한다. 하지만 신규사업을 통해 성장을 추진하는 전략의 성공확률은

More information

09 강제근로의 금지 폭행의 금지 공민권 행사의 보장 38 10 중간착취의 금지 41 - 대판 2008.9.25, 2006도7660 [근로기준법위반] (쌍용자동차 취업알선 사례) 11 균등대우의 원칙 43 - 대판 2003.3.14, 2002도3883 [남녀고용평등법위

09 강제근로의 금지 폭행의 금지 공민권 행사의 보장 38 10 중간착취의 금지 41 - 대판 2008.9.25, 2006도7660 [근로기준법위반] (쌍용자동차 취업알선 사례) 11 균등대우의 원칙 43 - 대판 2003.3.14, 2002도3883 [남녀고용평등법위 01 노동법 법원으로서의 노동관행 15 - 대판 2002.4.23, 2000다50701 [퇴직금] (한국전력공사 사례) 02 노동법과 신의성실의 원칙 17 - 대판 1994.9.30, 94다9092 [고용관계존재확인등] (대한조선공사 사례) 03 퇴직금 청구권 사전 포기 약정의 효력 19 - 대판 1998.3.27, 97다49732 [퇴직금] (아시아나 항공

More information

2005 1 Creating the future of Display and Energy Samsung SDI 2005 420 2005 1 05 1 ( ) 22,449 18,634-17.0% - 392 (%) (- 1.7%) 156 (0.8%) N/A - 480 (%) (- 2.1%) 414 (2.2%) N/A 342 (%) (1.5%) 541 (2.9%)

More information

data_041222.hwp

data_041222.hwp 웹폴더 서버 가 입 자 1 가 입 자 2 가 입 자 n 서버 소유, 유지관리 운영자 사용료 지불 하드 공간 할당 자료 다운로드 자료 업로드 비 가 입 자 ID/PSWD 임시 제공 가 입 자 1 가 입 자 2 가 입 자 n 웹하드 비즈니스 모델(유형 II) 웹폴더 서버 공유폴더 서버 소유, 유지관리 운영자 (C) 직접 운영 제휴 등 소핑몰 자 료

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

2015 년도반도체장비 재료성능평가사업공고품목및사양 ( 10, 14, 5 ) Photo/PR KrF LED WEE 노광장비 Reticle particle 검사Unit ARF i NTD BARC KrF Positive PR Etch TSV Gas Chiller 냉매 Di

2015 년도반도체장비 재료성능평가사업공고품목및사양 ( 10, 14, 5 ) Photo/PR KrF LED WEE 노광장비 Reticle particle 검사Unit ARF i NTD BARC KrF Positive PR Etch TSV Gas Chiller 냉매 Di 2015 년도반도체장비 재료성능평가사업공고품목및사양 ( 10, 14, 5 ) PhotoPR KrF LED WEE 노광장비 Reticle particle 검사Unit ARF i NTD BARC KrF Positive PR Etch TSV Gas Chiller 냉매 Diff SiC Susceptor SiC Pre Heat Ring Multi Point OES 고온용

More information

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp 주간 IT산업 주요 이슈 (2013-21 (2013-21호) 1. 주요 이슈 - 13.05.31(금), NIPA 산업분석팀 1 빅데이터, 애널리틱스, 클라우드 기업용 SW시장 성장 견인 지난해 글로벌 소프트웨어 시장 성장은 둔화하였으나, 빅데이터와 클라우드 관련 데이터 분석, 협업 애플리케이션, 보안 등은 성장세 ㅇ 12년 세계 기업용 SW 시장 규모는 3,426억달러로

More information

사물인터넷비즈니스빅뱅_내지_11차_160421.indd

사물인터넷비즈니스빅뱅_내지_11차_160421.indd 사물인터넷 빅뱅 2016. 연대성 All Rights Reserved. 초판 1쇄 발행 2016년 4월 29일 지은이 연대성 펴낸이 장성두 펴낸곳 제이펍 출판신고 2009년 11월 10일 제406 2009 000087호 주소 경기도 파주시 문발로 141 뮤즈빌딩 403호 전화 070 8201 9010 / 팩스 02 6280 0405 홈페이지 www.jpub.kr

More information

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 4월 반도체 가격 소폭 약세 시장수요는 회복 1분기말 주요 메모리 가격은 약세로 전환되고 있는데, 크게 우려할 수준은 아니라 고 여겨진다. 1분기는 계절적 비수기에 수요가

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 4월 반도체 가격 소폭 약세 시장수요는 회복 1분기말 주요 메모리 가격은 약세로 전환되고 있는데, 크게 우려할 수준은 아니라 고 여겨진다. 1분기는 계절적 비수기에 수요가 Research Center 메모리반도체 철강산업1 TFTLCD 철강산업2 유통산업 휴대폰산업 I 유틸리티 산업 휴대폰산업 Ⅱ 자동차 산업 18 정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 4월 반도체 가격 소폭 약세 시장수요는 회복 1분기말 주요 메모리 가격은 약세로 전환되고 있는데, 크게 우려할 수준은

More information

°æÁ¦Àü¸Á-µ¼º¸.PDF

°æÁ¦Àü¸Á-µ¼º¸.PDF www.keri.org i ii iii iv v vi vii viii ix x xi xii xiii xiv xv 3 4 5 6 7 8 9 10 11 12 13 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 47 48 49 50 51 52 53

More information

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D>

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D> 태양광 모듈 효율 실증 테스트 시스템 National Instrument Korea 전략마케팅 / 팀장 윤 동 원 1 회사 소개 소재: 미국 텍사스 오스틴 설립일: 1976년 지사 및 직원: 40여 개국의 지사, 4,300명의 직원 2007년 매출: $740M R&D 투자: 1) 사업비의 16% R&D 투자 2) 1,400명 이상의 R&D인력 대표 제품: LabVIEW,

More information

- 1-1 - 1-2 - 1-3 - 1-4 - 1-5 - 1-6 - 1-7 - 1-8 - 1-9 - 1-10 - 1-11 - 1-12 game FPD 12 2% 4% 2% 10 2% FPD 8 4% 24% 7 6 12% 2 game 2 1 体 1 14% 20% 1 16% TOTAL 50 40% 30 20 TOTAL 50 60% 10% out sourcing

More information

Microsoft Word - 0900be5c8030087b.docx

Microsoft Word - 0900be5c8030087b.docx 213. 4. 14 Sector Update (OVERWEIGHT) LG쇼 2부 WHAT S THE STORY? Event: LG전자 목표주가를 13,원으로 상향하고 supply chain 수혜주를 찾는다. Team Analyst 조성은 sharrison.jo@samsung.com 2 22 7761 이종욱 jwstar.lee@samsung.com 2 22 7793

More information

Microsoft Word - IO_2009_메모리반도체.doc

Microsoft Word - IO_2009_메모리반도체.doc 메모리 반도체 SemiconductorMemory Chips 2009.1 평가1실 조수희 애널리스트 7872321 suhee.cho@kisrating.com 평가1실 박춘성 연구위원 7872341 cspark@kisrating.com 평가1실 손재형 실장 7872250 jaihyoung.son@kisrating.com Summary 공급과잉 상태가 지속되는

More information

Microsoft PowerPoint - 휴대폰13년전망_2012.10_IR협의회.ppt

Microsoft PowerPoint - 휴대폰13년전망_2012.10_IR협의회.ppt 휴대폰산업 IT총괄 권성률 2)369-3724 srkwon@dongbuhappy.com 변화의 시대 동부 리서치센터 _ 기업분석팀 자동차/타이어 임은영 2)369-3713 휴대폰 시장 성장률 한자리수 시대 13년 휴대폰 시장은 6.3% 성장으로 12년 4.7% 성장 대비 소폭 개선 하지만 스마트폰 성장률은 4%대에서 2%대로 둔화 13년 전세계 스마트폰 비중은

More information

G2011WDT-Manual-LG(CCNF-Ver02).xls

G2011WDT-Manual-LG(CCNF-Ver02).xls 이 기기는 가정용으로 전자파 적합 등록을 한 기기로써 주거지역에는 물론 모든 지역에서 사용할 수 있습니다. 사 용 설 명 서 20.1" TFT LCD TV+Monitor Model : G2011WDT 금미전자 안전을 위한 주의사항 안전을 위한 주의사항은 제품의 안전하고 올바른 사용과 사고나 위험을 사전에 막기 위한 것이므로 반드시 지켜 주시기 바랍니다. 모니터

More information

분 기 보 고 서 (제 60 기) 사업연도 2014년 01월 01일 2014년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2014 년 11 월 28 일 제출대상법인 유형 : 면제사유발생 : 주권상장법인 해당사항 없음 회 사 명 : (주)대유에이텍 대 표 이 사

분 기 보 고 서 (제 60 기) 사업연도 2014년 01월 01일 2014년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2014 년 11 월 28 일 제출대상법인 유형 : 면제사유발생 : 주권상장법인 해당사항 없음 회 사 명 : (주)대유에이텍 대 표 이 사 목 분 기 보 고 서...1 대표이사 등의 확인...2 I. 회사의 개요...3 1. 회사의 개요...3 2. 회사의 연혁...8 3. 자본금 변동사항...12 4. 주식의 총수 등...13 5. 의결권 현황...14 6. 배당에 관한 사항 등...15 II. 사업의 내용...16 III. 재무에 관한 사항...48 IV. 감사인의 감사의견 등...53 V.

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Microsoft Word - 20160425 IT Weekly_v5

Microsoft Word - 20160425 IT Weekly_v5 2016년 4월 26일 Tech Weekly Vol. 6 1-a. 반도체/디스플레이 Tech View - 노르웨이 정부 2025 년부터 Zero Emission Vehicel 만 판매 가능 - INTEL 비휘발성(Non-Volatile) 메모리 솔루션 그룹 큰 폭의 매출 감소 - 삼성전자 4 년 만에 Apple iphone7 에 Nand 공급, Toshiba

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

I. 회사의 개요 1. 회사의 개요 1) 회사의 법적, 상업적 명칭 당사의 명칭은 "주식회사 한글과컴퓨터"라고 표기합니다. 또한 영문으로는 "HANCOM INC." 라 표기합니다. 단, 약식으로 표기할 경우에는 (주)한글과컴퓨터라 고 표기합니다. 2) 설립일자 및 존속

I. 회사의 개요 1. 회사의 개요 1) 회사의 법적, 상업적 명칭 당사의 명칭은 주식회사 한글과컴퓨터라고 표기합니다. 또한 영문으로는 HANCOM INC. 라 표기합니다. 단, 약식으로 표기할 경우에는 (주)한글과컴퓨터라 고 표기합니다. 2) 설립일자 및 존속 반 기 보 고 서 (제 23 기) 사업연도 2012년 01월 01일 2012년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2012년 8 월 14 일 회 사 명 : 주식회사 한글과컴퓨터 대 표 이 사 : 김 상 철,이 홍 구 본 점 소 재 지 : 경기도 성남시 분당구 대왕판교로 644번길49 한컴타워 10층 (전 화) 031-627-7000 (홈페이지)

More information

Microsoft Word - 130205 Hanwha Morning Brief.doc

Microsoft Word - 130205 Hanwha Morning Brief.doc Hanwha Morning Brief Daily I 리서치센터 213. 2. 5 Mid Small-cap 2월 Mid Small-cap [Mid Small-cap팀, 7556] Galaxy S4 Momentum! 기업분석 SK브로드밴드 (Outperform/maintain, TP: 4,8원(유지)) [박종수, 7463 / 김기훈, 7472] 이익 턴어라운드

More information

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i SERVICE MANUAL N200M / N300M / N500M ( : R22) e-mail : jhyun00@koreacom homepage : http://wwwicematiccokr (specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements)

More information

Microsoft Word - 130318 핸드폰부품 Galaxy S4_교정_.doc

Microsoft Word - 130318 핸드폰부품 Galaxy S4_교정_.doc 핸드폰/부품 Galaxy 시리즈 결정판 S4 효과를 기대한다 핸드폰 Galaxy S4는 Galaxy 시리즈 중 최대 효과일 것 Galaxy S3 이후 출시되는 Galaxy S4에 대한 기대도 높다. 현재로서는 Galaxy S3보다 판매량이 큰 폭으로 증가할 것으로 예상하는데, 이는 211년에 스마트폰을 구매한 교체수요가 Galaxy S3 출시 시점보다 많고,

More information

Microsoft Word - ICT Reprot

Microsoft Word - ICT Reprot ICT Report ICT Report 스마트폰 시장 환경 3 대 쟁점 * 1. 위기감이 엄습하고 있는 스마트폰 산업 국내 스마트폰 산업 지표가 부진을 보이며 향후 실적에 대한 우려가 증폭 휴대폰 수출이 2014 년 1 분기 26.2%에서 2 분기 1.5%, 3 분기 7.4%로 둔화되었으며 (가) 휴대폰 수출 (나) 스마트폰 ASP (다) 스마트폰 매출액 (라)

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L HXR-NX3D1용 3D 워크플로 가이드북 2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G Lens, Exmor, InfoLITHIUM, Memory

More information

Microsoft PowerPoint - User Manual-100 - 20150521.pptx

Microsoft PowerPoint - User Manual-100 - 20150521.pptx CIC-100 사용 설명서 (User Manual) 나의 커뮤니티, 보는 이야기 TocView [모델명 : CIC-100] 주의사항 매뉴얼의 내용은 서비스 향상을 위하여 개별 사용자의 사전 동의 또는 별도의 공지 없이 변경될 수 있습니다. 사용자의 인터넷 환경에 따라 제품 성능 및 기능의 제작 또는 사용이 불가능할 수 있습니다. 본 제품의 이용 중 장애에 의하여

More information

ÀüÀÚ Ä¿¹ö-±¹¹®

ÀüÀÚ Ä¿¹ö-±¹¹® 1 TV 20011 TV TV Top 3. 63 TV 40 TFT-LCD TV, TFT-LCD LCoS(Liquid Crystal on Silicon). 2002 TV 15, 17, 22, 24, 29 40 TFT-LCD TV 1. VCR & 1979 VCR 2001., VCR, AOL Time Warner TV. 2002 SDTV HDTV,,. DVD DVD/VCR

More information

Microsoft Word - Handset component_120626 _K__comp.doc

Microsoft Word - Handset component_120626 _K__comp.doc ` 휴대폰부품 산업분석 Report / 휴대폰 212. 6. 26 비중확대(유지) 종목 투자의견 목표주가 대덕GDS(413) 매수 21,원(신규) 대덕전자(86) 매수 16,원 일진디스플레이(276) 매수 23,원(상향) 파트론(917) 매수 17,원(상향) 휴대폰 부품주를 반드시 사야 하는 3가지 이유 살아남은 자들의 축제 비중확대 의견 유지 휴대폰 부품

More information

KEIT PD(15-8)-8.26.indd

KEIT PD(15-8)-8.26.indd / KEIT PD / KEIT PD / SUMMARY Society for Information Display(SID) Display Week 2015 R&D `SID 2015' Flexible Display, E-paper, Wearables, Digital signage, Printed electronics, 275 185, (Curved), 2~3, SID

More information

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY In-Depth (Overweight) SSD = (3D - NAND) Sep. 2013 9 Analyst 2184-2392 sh.jin@ktb.co.kr R.A. 2184-2334 jmlee1st@ktb.co.kr Issue Pitch Coverage opinion Top-picks Rationale CAGR +19%, 2X Density 2X Write

More information

Microsoft Word - 산업분석리포트2008110717020200.doc

Microsoft Word - 산업분석리포트2008110717020200.doc 산업분석리포트 28.11.1 넷북 - PC 산업의 새로운 트렌드 Analyst 김현중 377-3562 guswnd@myasset.com 새로운 고객 세그먼트의 확대로 29년 본격적인 시장 성장 예상 넷북이란 인텔에서 제안한 저가형 서브 PC 의 개념. 작고, 가볍고, 저전력이며 인터넷, 워드프로 세서와 같은 기본적인 프로그램만을 가동시키는데 최적화된 PC 를

More information