<4D F736F F D FB9DDB5B5C3BCBCD2C0E75FC0E5BAF15FC0A55F2E646F63>

Size: px
Start display at page:

Download "<4D F736F F D FB9DDB5B5C3BCBCD2C0E75FC0E5BAF15FC0A55F2E646F63>"

Transcription

1 Report 산업분석 반도체장비 / 소재 27 년 8 월 2 일 Positive Analyst 최태이 2) , taeey.choi@wooriwm.com 박영주 2) , young.park@wooriwm.com Technology Migration 에대응가능한업체에집중하라 27 년반도체장비시장은 Slightly Downturn, 28 년 +6.7% 성장전망삼성전자와하이닉스의계속되는 12인치 Fab 증설활동으로국내설비투자규모가연평균 1 조원에이르면서국내장비시장은호황을누리고있다. 소자업체들의 Capex 규모가 27 년 9.84 조원 (-1.1% y-y) 으로마이너스성장이예상되나, 28 년에는 1.5 조원으로증가할전망이다. 이에따라 28 년반도체장비업체들의영업환경은 27 년보다우호적일것으로판단된다. 한편국내반도체업체들의설비투자가상반기에 6% 이상집중됨에따라 3Q7 장비수주모멘텀은둔화될전망이다. 4Q7 에도장비수주모멘텀약세는계속될것으로전망되나투자심리는회복국면에들어갈것으로예상된다. 이는 4Q7 중 28 년 Capex 규모와장비발주시기가어느정도구체화되면서 28 년장비시장에대한불확실성이제거되기시작할것으로전망되기때문이다. 나노기술의본격화로국내장비및소재업체선별적으로수혜예상본격적인나노공정시대로진입하게되면서기존공정기술의한계로각공정마다새로운장비와재료들이생겨나고있으며, 이러한신규장비와소재들이국내장비및소재업체들에새로운성장기회를제공하고있다. 국내장비시장에선 ALD 장비와 Single Type LP-CVD 장비시장이새롭게부각되고있으며, 소재시장에선 ALD Precursor 와 CMP Slurry 시장이고성장시장으로전망되고있다. 이미신규장비및소재시장에서실적차별화가진행되고있는관련장비및소재업체들이등장하고있는데, 당사는이들업체의성장잠재력이높아주목할필요가있다고보고있다. 하반기 Top Picks 는케이씨텍과유진테크주성엔지니어링, 케이씨텍, 유진테크를투자의견 Buy 로신규제시하며, 당사의 Top Picks 로케이씨텍과유진테크를추천한다. 케이씨텍의목표주가는 1,2 원으로제시한다. 케이씨텍은부가가치높은 Ceria CMP Slurry 개발성공과진입장벽높은반도체장비시장에성공적으로진입하면서미래성장동력을확보한것으로평가된다. 또한유진테크의목표주가는 13,7 원으로제시한다. 국내유일의 Single Type LP-CVD 장비업체로서 Single Type LP-CVD 장비시장확대로성장잠재력에대해긍정적으로평가한다. 반도체장비 / 소재업종투자의견 / 투자지표 ( 단위 : 원, 배, %) 코드투자의견목표주가현재가영업이익률 PER ROE PBR (12M) (8/17) 27E 28F 27E 28F 27E 28F 27E 28F 주성엔지니어링 3693.KQ BUY( 신규 ) 26,3 18, % 16.6% 케이씨텍 2946.KS BUY( 신규 ) 1,2 5,32 1.2% 12.2% 유진테크 8437.KQ BUY( 신규 ) 13,7 7, % 28.% 주 : 27 년 8 월 17 일종가기준자료 : 우리투자증권리서치센터 당사는자료작성일현재 " 주성엔지니어링, 케이씨텍, 유진테크 " 발행주식을 1% 이상보유하고있지않습니다. 당사는동자료를기관투자가또는제 3 자에게사전제공한사실이없습니다. 동자료의조사분석담당자는자료작성일현재동주식을보유하고있지않습니다. 당사는 " 주성엔지니어링 " 의자사주취득위탁증권회사임을알려드립니다. 동자료에게시된내용들은본인의의견을정확하게반영하고있으며, 외부의부당한압력이나간섭없이작성되었음을확인합니다.

2 목 차 I. 투자포인트... 3 II. 국내반도체장비시장의동향과전망 년반도체장비시장은 Slightly downturn, 28 년 +6.7% 성장 4 국내반도체장비업체들 Valuation 은상승중 2H7 반도체장비주투자전략 III. Technology Migration 에대응가능한업체에주목할필요... Technology Migration 의한계에부딪힌미세공정 8 IV. 반도체장비시장의변화 : Thermal Process 장비의대안을찾아서... Single Type LP-CVD 장비시장전망 1 또하나의대안, ALD 장비시장 V. 반도체재료시장의변화... 핵심 CVD Precursor 가 ALD Precursor 로전환중 13 기술적 Issue: 왜 High-k 여야만하는가? 미세공정화에따른 CMP 시장확대전망 기술적 Issue: CMP 공정이평탄화공정에서패턴형성공정으로확대 기업분석... 주성엔지니어링 (Buy, TP 26,3 원 ) 21 케이씨텍 (Buy, TP 1,2 원 ) 유진테크 (Buy, TP 13,7 원 ) 2

3 I. 투자포인트 27년국내반도체장비시장은 Slightly downturn, 28년은 +6.7% 성장예상 메모리시장의선두에있는삼성전자와하이닉스의 12 인치 Fab 증설은 28년에도계속될전망이다. 공격적으로진행되고있는소자업체들의설비투자는국내반도체장비업체들의영업환경에긍정적으로작용하고있다. 26년부터소자업체들의설비투자규모가 1 조원에육박함에따라장비업체들의매출액절대규모가증가하고있으며실적변동성이과거에비해축소되고있다. 27년국내반도체설비투자규모는전년대비 1.1% 감소한 9.84 조원이될것으로예상되지만, 28년에는 1.5 조원으로 6.7% 증가할것으로전망된다. 따라서반도체장비시장확대에따른장비업체들의실적모멘텀은 28년에가능할것으로전망된다. 1H7 소자업체들의투자집행이 6% 이상진행됨에따라장비업체들은상반기실적호조세를보였으나, 하반기장비수주모멘텀이둔화되면서 3Q7 반도체장비업종에대한투자심리가다소악화될것으로전망된다. 하지만 4Q7 에는투자심리가점진적으로회복국면에놓일것으로전망되는데, 이는소자업체들의 28년투자규모와장비입고시점이구체화되면서불확실성이제거될것으로예상되기때문이다. Technology Migration으로인한공정한계를극복하기위해신규장비및재료시장이탄생- 국내업체에새로운성장의기회 반도체기술은디바이스집적도및속도를향상시키는방향으로발전하고있다. 하지만나노공정이본격화되면서기존장비와재료기술로는집적도와속도를개선하는데기술적한계에부딪히고있어새로운반도체장비와소재가필요하게되었다. 결국나노공정과더불어시작된공정기술의변화로국내반도체재료및장비업체들은새로운전기를맞고있다. 신규반도체장비및재료시장에서국내업체들의역할비중이증가하고있으며관련업체들에게는새로운성장의기회가되고있다. 나노공정본격화로각공정마다신규장비와소재들이생겨나고있는데, 국내장비및소재업체들이대표적으로수혜를볼수있는영역은 CVD 장비와소재시장이라판단된다. CVD 장비와소재시장은반도체제조공정의핵심으로공급업체다변화가쉽지않아향후에도고부가가치시장으로기대된다. 메모리와비메모리모두공통적으로미세공정이심화되면서누설전류로저전력하에서동작하는것이어려워지고있으며, 이에따라절연재료를유전율이높은 High-k 물질로변경하기에이르렀다. 또한메모리와비메모리모두고속반도체소자를제조하기위해금속배선재료를알루미늄 (Al) 에서구리 (Cu) 로변경을시도하고있다. 이러한재료시장의변화에따라 ALD Precursor 와 CMP Slurry 시장이향후고성장이기대되는재료시장으로전망되고있으며, 장비시장에서는나노급미세회로구현을위해 ALD 장비와 Single Type LP-CVD 장비시장확대가예상되고있다. ALD 장비나 ALD precursor, Single Type LP-CVD 장비는현재메모리소자제조에있어서응용막질이 1~2 개정도의초기시장이다. 하지만공정 Migration 이진행될수록이러한응용막질은점차 8~9 개까지늘어나면서시장이점진적으로확대될전망이다. Top Picks 는케이씨텍과유진테크 당사는주성엔지니어링 (TP 26,3원, BUY), 케이씨텍 (TP 1,2원, BUY), 유진테크 (TP 13,7 원, BUY) 를 2H7 투자유망종목으로추천한다. 세업체모두 Technology Migration 으로고성장이예상되는장비및재료시장에서 M/S 를확대하며성장잠재력을높일것으로판단된다. 이중에서특히 28년실적개선모멘텀이큰케이씨텍과유진테크를 Top Picks 로추천한다. 케이씨텍은반도체및 LCD 장비시장의설비투자확대로 28년 EPS가 56.2%(y-y) 성장할것으로전망되고유진테크는국내유일의 Single Type LP-CVD 장비업체로서매엽식 LP-CVD 장비시장확대와함께 28년 EPS 가 55.7% (y-y) 증가할것으로전망된다. 주성엔지니어링은 LCD 와반도체, 태양전지산업에서경쟁력있는장비포트폴리오를보유하고있어장비산업의변동성을 hedge하면서지속적인 EPS 성장을이루어낼것으로전망됨에따라차선호종목으로추천한다. 3

4 II. 국내반도체장비시장전망과동향 년반도체장비시장은 Slightly downturn, 28 년 +6.7% 성장 국내반도체설비투자 27년 9.8조원 (-1.1% y-y), 28년 1.5조원 (+6.7% y-y) 예상 26년국내소자업체의전체 Capex 규모는 1.9 조원으로전년대비 +25.3% 증가하며큰폭의성장세를보였고, 이에따라국내장비업체들의실적도대폭향상되는모습을보였다. 국내소자업체들의 27년 Capex 규모는 9.8 조원으로전년보다 1.1% 감소할것으로예상되고있으나여전히 1 조원에가까운투자규모이다. 28년국내장비시장환경은 27년보다우호적일것으로전망되는데, 이는 28년국내소자업체들의계속되는 12 인치 Fab 증설로국내소자업체들의설비투자금액이 1.5 조원에다다를것으로예상되면서국내장 비시장의규모가 27 년대비 6.7% 증가할것으로전망되고있기때문이다. 상반기집중투자로 2H7 수주모멘텀둔화되나투자심리는 4Q7 부터회복될전망 삼성전자와하이닉스모두상반기에설비투자를집중하면서장비업체들의 1H7 실적은 1H6 대비 39.8% 증가할것으로전망되지만상반기집중투자여파로국내반도체장비업체의 2H7 실적증가세는둔화될전망이다. 2H7 장비업체들의장비수주는 Slow 할것으로예상되지만투자심리는 4Q7 말이되면점진적으로회복될전망이다. 4Q7 에는실질적인장비발주는없어도소자업체들의 28년도투자규모와장비입고스케줄이 어느정도구체화되면서 28년도장비시장의불확실성이제거될것으로예상되어투자심리회복에긍정적인역할을할것으로예상된다. 하이닉스의 M11 라인의장비입고가 1Q8 부터시작될것으로예상되며, 삼성전자도 2H8 부터는신규라인가동을위한장비입고가일부진행될것으로전망된다. 국내반도체소자업체의설비투자추이장비업체들의반기매출액비교 (1H6 vs 1H7) ( 조원 ) 삼성전자 ( 좌 ) 하이닉스 ( 좌 ) (%) 12. 전체투자규모 ( 좌 ) 성장률 ( 우 ) ( 십억원 ) H6 1H7E Q 1Q 2Q 2Q E 8F 9F 자료 : 각사발표자료, 우리투자증권리서치센터전망 자료 : Data Guide Pro, 우리투자증권리서치센터전망 4

5 2. 국내반도체장비업체들의 Valuation 은상승중 장비업체들의 Valuation 상승은성장에대한낙관적인기대와변동성축소가근본적인원인 국내반도체장비업체들의 Valuation 이상승하고있다. 24년부터장비업체들주가는 PER band 상 4배와 8 배사이에서등락을거듭했으나, 2H6 부터주가가지속적으로상승하여 PER band 상 9배근처까지도달했다. 이는지난 3년간의 PER band 상최고점에위치한것인데, 반도체장비및소재업체들의계속되는실적호조로투자자들의관심이커지고 2H7 투자축소에대한불확실성이해소되면서반도체장비주들의 Valuation 은높아지고있다. 과거국내반도체장비주들의주가와메모리경기와의상관관계를살펴본결과반도체장비주주가는메모리경기에후행하는경향을보이고있다. 하지만 2H6 부터시작된장비업체들의주가상승은메모리경기와상관관계가다소떨어지고있는것으로판단되며, 해외장비업체들의주가와도차별화된모습을보이고있다. 이는국내반도체장비업체들의영업환경개선과사업체질강화로과거보다향상된성장성과변동성리스크축소가투자자들에게인식되면서국내반도체장비주들의 Valuation 이근본적으로상승하고있는것으로판단된다. 반도체장비업체의 PER Band 추이 반도체장비업체주가추이 vs Capex ( 십억원 ) '3.3 '4.3 '5.3 '6.3 '7.3 11X 9X 7x 5X 3X ( 조원 ) Capex( 좌 ) ( 십억원 ) 후공정 ( 우 ) 전공정 ( 우 ) '6.1 '6.4 '6.7 '6.1 '7.1 '7.4 '7.7 1,6 1,4 1,2 1, 주 : 대상기업 ( 국제엘렉트릭, 피에스케이, 한양이엔지 ) 자료 : Dataguide Pro, 우리투자증권리서치센터 자료 : Dataguide Pro, 우리투자증권리서치센터 메모리출하액과반도체장비업체주가 Global 장비업체주가추이 ( 백만달러 ) 7, 6, 5, 4, 3, 2, 1, 메모리출하액 ( 좌 ) 전공정 ( 우 ) '3.3 '4.3 '5.3 '6.3 '7.3 ( 십억원 ) 1,4 1,2 1, ( 달러 ) Applied Materials( 좌 ) ( 엔 ) 5 Novellus( 좌 ) 1, 45 Tokyo Electronics( 우 ) '6.1 '6.4 '6.7 '6.1 '7.1 '7.4 ' 주 : 대상기업 ( 주성, 국제엘렉트릭, 피에스케이, 한양이엔지 ) 자료 : WSTS, Dataguide Pro, 우리투자증권리서치센터 자료 : Bloomberg, 우리투자증권리서치센터 5

6 평균절대투자규모 1조원 - 반도체장비업체실적변동성완화되고있다 국내소자업체들의절대투자금액증가와함께반도체장비업체들의매출액규모가 1, 억원대에도달하면서국내장비업체들의현금비중상승과함께 R&D 투자에대한부담이경감되고배당성향이높아질가능성이커지고있다. 과거에비해투자리스크가감소되었다는점은장비업체들의 Valuation 이상승할수있는충분한근거가된다. 삼성전자와하이닉스모두 DRAM 및 NAND 시장에서의시장지배력을유지하려는의지가확고하기때문에신규 Fab 증설활동을멈추기힘든상황이다. 삼성전자, 하이닉스가신규 Fab 을매년한개씩증설한다고가정할경우, 국내설비투자의절대규모는약 1 조원규모가될전망이다. 따라서 1 조원에육박하는국내장비시장의절대규모확대로인해과거에장비업체들이보여왔던경기변동에따른큰폭의실적변동성은현저히줄어들것으로전망된다. [ 표 1] 국내반도체장비업체매출액해외비중 기업 26년매출액 ( 전년대비증감률 ) 수출비중 (26년) 수출비중 (23년) 국제엘렉트릭 1,15억 (+25.1%) 21.1% 1.3% 아토 1,169억 (+37.7%) 11.9% 9.1% 피에스케이 1,289억 (+11.4 %) 36.% 23.3% 주성엔지니어링 1,211억 (-7.7%) 42.2% 45.3% 한양이엔지 2,12억 (+35.1%) - - 코미코 549억 (+1.7%) - - 한미반도체 928억 (+17.2%) 47.4% 66.3% 자료 : 각사사업보고서, 우리투자증권리서치센터 프로모스를통한해외거래선다변화기대 거래선다변화를통해성장가능성이과거대비높아진점도국내장비업체들의 Valuation 을상승하게하는요인이다. 하이닉스가대만의메모리업체인프로모스와공동 Fab 을사용하면서국내반도체장비업체들이프로모스에장비를공급하게되었고, 이에따라대만메모리장비시장에서국내장비업체들의인지도가높아지고있다. 그동안대부분의국내장비업체는해외시장에서인지도가낮아국내중심의영업활동을벌이고있었는데, 프로모스로의장비공급이이러한한계점을극복할수있는계기가되고있다. 소자미세화에따른장비국산화의지는어느때보다높아 기술적선두에서있는국내메모리업체들의 Technology migration에따라국내장비및소재업체들의역할이커지고있는것도장비업체의 Valuation 을상승하게하는요인이다. 과거 2 년대초만해도일본과미국등의선진장비업체에비해기술적으로열위에있던국내반도체장비업체들의주요존재이유는외산장비의가격하락을유도하기위함이었다. 하지만최근국내장비및소재업체들의동향을살펴보면공정개선에있어국내업체들의실질적인역할비중이증가하고있는추세이다. 이는기술적변화로수반되는신규장비시장에진입하는데있어지리적이점과빠른대응능력을가지고있는국내장비업체가유리한위치에있기때문이다. 27년초국내소자업체들이반도체평가 Fab 을통해강력한국산화의지를피력한것도이러한맥락에서이해될수있다. 또한나노장비의국산화로기존선발업체가없는신규시장에서국내장비업체들의해외시장개척이과거에비해수월해지면서성장잠재력을높일수있는것도긍정적으로기대되는부분이다. 6

7 3. 27 년하반기반도체장비주투자전략 실적차별화를보이고있는주성엔지니어링, 국제엘렉트릭, 한미반도체 27년국내장비시장을살펴보면흥미로운점을발견할수있다. 소폭이긴하지만국내소자업체의투자감소가직접적인실적감소로이어지는업체가있는가하면, 시장이위축되는상황에서도기술변화에대응하며성장성이돋보이는업체들이있다. 주성엔지니어링과국제엘렉트릭, 한미반도체가그대표적인업체들이다. 주성엔지니어링과국제엘렉트릭은원자단위증착장비인 ALD 장비시장확대와더불어 ALD 장비의수요증가로실적이성장하고있으며, 이번조사범위에서벗어나긴하지만 Lead Fram 시장을대체하며높은성장세를보이고있는 BGA 시장에서는한미반도체가 S&P 장비의매출증가로분기별최대실적을내고있다. 위의업체들은모두 Technology Migration에유리한제품포트폴리오를보유함으로써기술변화에적극적대응을하고있다는공통점을가지고있다. 2H7 Top Picks: 케이씨텍과유진테크 27년하반기반도체장비주투자전략은소자업체들의 Technology Migration 에수반되는기술변화에능동적으로대처할수있는종목위주로관심을갖는것이바람직하다고판단된다. 반도체장비시장은 12 인치 Fab 증설투자로호황을맞고있지만, 장비시장의호황은곧소자업체의공급과잉으로이어지고투자축소에대한불안감이향후장비시장의투자심리를흐릴수있다. 해외메모리시장에 exposure 가큰장비업체들은투자축소가진행될경우실적급감의가능성도항시존재한다. 따라서현시점에서는기술적이슈를가지고신규시장개척이가능한업체들위주로투자전략을견지하는것이유효해보이는데, 이러한업체들은성장성높은신규매출아이템으로반도체경기사이클에더욱완만하게대처하면서지속적인 EPS growth 를시현할가능성이높기때문이다. 당사는이러한관점에서주성엔지니어링, 유진테크, 케이씨텍을긍정적으로평가하며투자유망종목으로추천한다. 또한차세대고성장반도체재료로주목받고있는 ALD Precursor 시장에서성장잠재력이충분한유피케미칼과메카로닉스, DNF Solution 에대해서도주목할필요가있다고판단된다. 세업체모두비상장업체이지만, 메카로닉스는솔믹스의자회사로서향후솔믹스의기업가치에도긍정적인영향을줄것으로판단되며, DNF Solution 은상장을위한예비심사를청구한상황이라관심을가지기에충분하다. [ 표 2] Technology Migration 으로고성장이예상되는국내장비및재료업체들 업체상장여부관심제품 신규제품매출발생여부 Issue 주성엔지니어링상장사 ALD 장비 O 해외메모리시장진출 유진테크 상장사 매엽식 LP-CVD 장비 O 국내를중심으로거래선다변화 케이씨텍 상장사 CMP Slurry O Ceria CMP Slurry 개발 유피케미칼 비상장사 ALD Precursor O 국내시장의 M/S 7% 메카로닉스 비상장사 ALD Precursor - 제품공급승인, 솔믹스자회사 DNF Solution 비상장사 ALD Precursor - 예비심사청구 자료 : 우리투자증권리서치센터 7

8 III. Technology Migration 에대응가능한업체에주목할필요 1. Technology migration 의한계에부딪힌미세공정 반도체기술 Trend- 미세화, 저전력, High Speed 소자의집적도를높이려는노력은계속되고있고디지털기기들의처리속도는빨라지고있다. 소형디지털전자기기시장이확대됨에따라저전력에대한요구도끊이지않고있다. 반도체기술은결국공정미세화를통한집적도향상과배선기술을이용한처리속도개선방향으로진화해나아간다. 정보저장이주목적인메모리역시더욱빠른처리속도가요구되고있어직접도와처리속도향상은메모리, 비메모리소자업체모두해결해야할부분이다. 소자의사이즈가작아질수록소모전력이작아져야하는것은기술적으로어쩌면당연한부분일수있다. 하지만소자의직접도향상을이루어내는동시에저전력하에서고속으로동작하는디바이스를만드는것은기술적으로쉽지않은일이다. 특히미세공정이나노기술로들어가면서기존공정기술로는더이상소자업체가원하는집적도와고속의디바이스를만드는것이한계에부딪혔다. 미세공정으로전기적특성구현쉽지않아 나노기술이본격화되면서미세회로구현자체가힘들어지고있으며, 설사미세회로구현을한다해도회로의미세화로누설전류가발생하고동작속도가느려지는문제가나타나고있다. 누설전류현상이발생하면반도체디바이스가저전력에서동작하는것이원활하지않게된다. 이러한기술적한계들은메모리와비메모리공통적으로일어나고있는상황인데, 반도체소자업체들은이러한기술적한계를공정재료인배선재료와절연재료의변화를통해서해결하려고하는추세이다. [ 표 3] 반도체소자개발의기술 Trend 와이로인해생성되는장비및재료시장의예 누설전류극복 고속디바이스 디바이스의직접화 자료 : 우리투자증권리서치센터 재료시장장비시장국내관련업체해외관련업체 ALD Precursor(High-k) CVD Precursor(Low-k) CMP 공정적용 CMP 공정확대 ALD 장비 ALD 장비, 매엽식 LP-CVD 장비 주성엔지니어링, 유피케미칼, 메카로닉스 LG 화학 유진테크, 주성엔지니어링테크노세미켐, 케이씨텍, Honeywell Electronics, R&H Pure Chemical Co. Dow Chem, Cabot, JSR Applied Material ASMI, Applied Material, Novellus 나노공정으로고성장기대되는 ALD Precursor 와 CMP Slurry 재료시장, 장비시장에서는 ALD 장비와 Single Type ALD 장비수요증가예상 메모리공정기술에서선두에있는삼성전자와하이닉스가나노공정이라고할수있는 8nano 공정을 2H6 년부터본격화했으며, Logic 디바이스의대표업체인인텔도파일럿라인에서 45nano 공정을 2H7 부터시작할것으로예상된다. 8nano Dram, 45nano Logic 공정에주목하는이유는 27년부터본격화되고있는 nano 급 Design Rule 부터소수의핵심공정들을중심으로공정의한계들이드러나고있으며, 다음세대공정에선이러한한계공정들이더욱늘어나면서장비및재료시장의변화속도가빨라질것으로예상되기때문이다. 국내장비및재료시장에선나노공정과함께배선및절연재료의변화로 ALD Precursor 시장과 CMP Slurry 시장이고성장재료시장으로주목받고있으며, 메모리직접도를높이기위한미세회로구현을위해 ALD 장비와매엽식 LP-CVD 장비시장의확대가예상되고있다. 8

9 미세공정 Penetration 추이 [ 표 4] 27 년메모리업체나노공정전환계획 1% 8% 6% 4% 65nano 32nano 45nano 업체명 신규공정 개시 양산 삼성전자 68nm 3Q7 4Q7 하이닉스 66nm 3Q7 4Q7 엘피다 7nm 2Q7 2H7 2% 9nano % 자료 : Gartner, 우리투자증권리서치센터 키몬다 75nm 2Q7 2H7 마이크론 78nm 2Q7 2H7 파워칩 7nm 3Q7 4Q7 자료 : 한국반도체산업협회 미세공정기술은국내가선두- 국내반도체장비및소자업체신규시장에적합하다 위에서언급한장비나재료시장은국내상황에초점을맞춘것이고, 핵심공정인 Photo 장비같은경우는국내에서관련업체가존재하지않아관심대상에서제외하였다. 우리가주목하고있는 CMP Slurry 나매엽식 LP- CVD 장비와관련된업체들의, 상기제품으로인한, 27년실적변화는미미할수도있다. 하지만현시점에서중요한것은 4 여년간실리콘을근본으로한트랜지스터제조기술에큰변화가없어반도체장비나재료시장변화또한존재하기힘들었던상황에서, 이제는국내업체들이비집고들어갈수있는틈새반도체장비및재료시장이생겨나고있다는사실이다. 양산채택시점에서보면 ALD 장비와 ALD Precursor 시장은양산채택시점에서보면국내가선발주자이고구리배선공정과 CMP 공정은국내가후발주자라할수있다. 국내가선발주자인경우는국내장비및재료업체들이제품개발에있어서핵심적인역할을담당하고있다. 이렇게국내업체들의기여도가높아진까닭은기존공정기술들이한계에부딪히면서국내소자업체가 Global 업체보다선행적으로개발해야하는공정들이생겨났고, 국내중소장비및소재업체들은지리적이점으로제품개발활동에있어빠른 Feedback을보여주었기때문이다. 또한대부분의신규공정들이 Technology Migration 상 Critical 한부분인점도소자업체들이국내업체를더선호하게한부분이다. 이러한틈새시장에서일부반도체장비나재료업체는국내시장에서의양산경험을통해해외시장개척도활발하게이루어질것으로판단되고있어의미가크다. 9

10 IV. 반도체장비시장의변화 : Thermal Process 장비의대안을찾아서 1nm 이상에서미세박막증착장비로탁월했던 Batch Type LP-CVD장비, 8nm 부터증착공정에한계노출 반도체디바이스제조시박막증착을위해서주로 CVD 방식을사용하고있는데, 특히막질두께가얇고높은박막 Quality 가요구되는공정은 LP-CVD 방식이주로사용되고있다. 기존의 LP-CVD 방식은 Batch Type 이주를이루고있는데, 이는고온에서장시간공정을수행함에따라양산성이뛰어나고막질 Quality 가우수하다는장점을가지고있기때문이다. 하지만이렇게 1nano이상에서우수한증착장치로사용되었던 Batch Type LP-CVD 장비가소자의사이즈가작아지면서일부막질증착에한계를보이고있다. LP-CVD 장비중 Thermal Process 장비는고온에서장시간열처리를통해박막증착에사용되는장비를말하며 Furnace 장비와 Batch Type LP-CVD 장비를통틀어지칭한다. 소자의나노화로 Batch Type LP- CVD 장비의약점 ( 장시간, 고온열처리 ) 이문제시되면서이를보완할장비로 ALD 장비와 Single Type LP- CVD 장비가떠오르고있다. 막질 Quality와 Step Coverage 가요구되는곳은 ALD 장비가, 온도 control 이필요한공정은 Single Type LP-CVD 장비가대세 Batch Type LP-CVD 장비는장시간고온 (75도, 3분이상 ) 에서공정을수행하게되는데, 장시간고온에서공정을진행할경우전기전도도를위해주입한불순물들이내부에서원하지않는이동을하는경우가발생하여소자의전기적특성을 control 하기어렵게한다. 이렇게온도제어가민감한공정들은낮은공정온도에서공정을진행할수있는박막장치를사용할수밖에없는데, 이에따라낮은온도로공정을수행하는장치인 Single Type LP-CVD 장비와 ALD 장비가대체장비로떠오르고있다. 하지만 ALD 장비는 Batch Type LP-CVD 장비에비해저생산성이라는치명적인단점이있고 Single Type LP-CVD 는막질 Quality 가 Batch Type LP-CVD 에비해떨어지는단점이있다. 따라서생산성보다막질 Quality 와 Step Coverage 특성이요구되는곳은 ALD 장비가상대적으로우위에있고, 양산성과온도 control 이뛰어나야하는곳은 Single Type LP-CVD 가상대적으로우세하다. 대체장비점유율 25% 수준까지확대될것으로전망 기존의 Batch Type LP-CVD 장치도분명강점 ( 뛰어난양산성과우수한막질 Quality) 이있기때문에 ALD 나 Single Type LP-CVD 장비로의급격한교체는이루어지지않을것이다. 하지만미세공정으로진화할수록미세패턴증착이나열처리에대한부담이커질수밖에없기때문에 ALD 나 Single Type LP-CVD 장비시장은확대될수밖에없을것으로전망된다. 기존 Batch Type 으로공정을수행하던응용 Layer 를 4 layer로가정할때대체장비의점유율은 layer 기준으로약 25% 정도까지확대가능할것으로전망된다. [ 표 5] LP-CVD 장비특성비교 ALD LP-CVD(Batch) LP-CVD(Single) 막질 Quality 가장좋음 좋음 상대적으로열위 온도 High~Low High Low Step coverage 가장좋음 좋음 상대적으로열위 미세공정에적합여부 적합 한계점노출 중간 공정소요시간 Longest Long Short 웨이퍼투입장수 1장 5~1장 1장 양산성 하 상 중 국내업체 주성, 국제엘렉트릭 국제엘렉트릭 유진테크 해외업체 ASMI Hitachi Kokusai Applied Material 자료 : 우리투자증권리서치센터 1

11 1. Single Type LP-CVD 장비시장전망 국내증착장비시장 1.73조원 26년국내증착장비 (Thermal Process 장비포함한 CVD) 시장은약 1.73 조원이며, 이중 Thermal Process 장비시장 (Batch Type LP-CVD 와 Furnace) 은 6,7 억원수준이다. 패턴의사이즈가줄어들면서 열처리공정으로인한불순물이동최소화와증차막질의변형을막기위해최근소자업체들이 Single Type LP-CVD 장비적용을위한테스트를활발하게진행하고있다. 국내 Thermal Process 장비시장규모국내시장증착장비별비중 (26 년 ) ( 십억원 ) 1, 8 Furnace+batch-type LP-CVD Single-type LP-CVD Other 13.3% Furnace+batch-type 6 4 PE-CVD 17.5% LP-CVD 38.9% 2 HD-CVD 28.3% AP-CVD 2.% 자료 : 유진테크, 우리투자증권리서치센터 자료 : 한국반도체산업협회, 우리투자증권리서치센터 28년 Single Type LP- CVD 시장 768 억원규모 기존의 Batch Type LP-CVD 장비가 4 layer 이상의증착공정을담당하고있는데, 이중국내에서 Single Type LP-CVD 장비로증착하고있는막질은현재 One Layer 뿐이다. 하지만 28년부터는적용막질이 3 Layer 로늘어나고 21년까지는 6 Layer 까지확대될것으로전망된다. 소자업체별로적용공정이나 Layer 수는다소차이가날수있지만 DRAM, NAND 모두속도향상을위해 Metal 사용이늘어남에따라공정온도가더욱민감한 issue 가될것으로전망된다. 따라서 Single Type LP-CVD 시장은 27 년을분기점으로 28 년은 768억원, 21년 1,536억원까지급속히확대될전망이다. Single Type LP-CVD 장비를제공하는업체로는유진테크와 Applied Material이있다. 국내 Single Type LP-CVD 장비시장확대에따라유진테크장비가수혜를받을가능성이높다고판단되는데그근거는다음과같다. 메모리제조용 Sinlge Type LP-CVD 장비는유진테크가국내소자업체에먼저공급한경험이있고, 국내에위치한유진테크가 Global 업체대비제품개발및 A/S 에발빠른대응을할수있는강점이다. 또한 Logic 소자제조용 Single Type LP-CVD 장비시장규모는메모리보다상대적으로커 Applied Material 은 Logic 시장을주공략대상으로하고있다. 따라서국내시장에서의경쟁강도가상대적으로약할것으로전망되고이에따라유진테크가국내시장의 M/S 를확대하는데긍정적으로작용할전망이다. [ 표 6] 국내 Single Type LP-CVD 장비시장전망 구분 26~27 년 28~29 년 29~21 년 적용 Layer 1 Layer 3~4 Layer 6~7 Layer 시장규모 17 억원 768 억원 1,536 억 주 : 1 layer 당 4 대소요가정 (6k 기준 ) 자료 : 우리투자증권리서치센터전망 11

12 2. 또하나의대안, ALD 장비시장 메모리공정에서가장빠르게채택되고있는 ALD 장비 Batch Type LP-CVD 장비의또하나의대안인 ALD 장비는이미국내에서 26년을기점으로높은시장성장률 (27E 유전막용 ALD 장비시장성장률 27% (y-y) 를보이고있다. ALD 장비의결정적단점인저생산성때문에소자업체에서는 ALD 장비채택을꺼려왔지만이제는더이상늦추기가어려운시점에와있다. ALD 장비최대적층 Layer 는 9층까지확대될전망 심한굴곡 ( 단차 ) 으로미세막질증착이어려운메모리의 Capacitor 와 Metal 배선공정, Logic 소자의트랜지스터공정이 ALD 장비의주적용대상공정이될것으로예상된다. 비록공정수는 3개로적어보이지만한공정에여러번다양한막질증착을해야하기때문에 Layer 수로계산하면적어도 9개이상의 Layer 가된다. Layer 별장비소요대수와장비별로생산성이달라정확한 ALD 시장규모를예상하기어려운면이있어 ALD 장비를가장먼저적용하고있는 Dram Capacitor 공정의유전막만을기준으로 ALD 장비시장규모를추정해보면 28년 ALD 장비시장은 1,36 억원규모가될것으로전망된다. 또한향후 3년간유전막용 ALD 장비시장의성장률은 39.8%(27~29 CAGR) 에이르면서고성장을할것으로예상된다. 국내 ALD 장비업체와해외 ALD 장비업체간의기술격차크지않다 ALD 장비에주력하고있는업체는주성엔지니어링과 ASMI, Axitron, Aviza, IPS 등이있으며, 25년기준으로 ALD 장비시장 M/S 는 ASMI 가 29%, 주성엔지니어링이 19% 를차지했다. ASMI 를제외하고는 ALD 시장의 M/S 가업체별로비슷하게분포되어선두업체와국내업체의 M/S 격차가그리크지않은것으로감안해보면, 국내 ALD 장비업체들의기술력은 Global 업체들과큰차이가나지않는다고판단된다. M/S 1위업체인 ASMI 는 Logic 시장에서, M/S 2위업체인주성엔지니어링은메모리시장에서고객기반을확보하기위해주력하고있던상황이었으나, 최근 ASMI 가일본메모리업체를위주로영업을강화하고있는상황이다. 주성엔지니어링은대표적으로국내시장에서먼저검증을받은후 Global 시장에서고객다변화에성공한업체이다. 생산성이강점인주성엔지니어링의 ALD 장비가 Global 장비시장에서경쟁력을점차인정을받고있는상황이어서 M/S 확대추세는계속될것으로전망된다. Global ALD 장비시장 M/S(25 년기준 ) Dram 의유전막용 ALD 장비시장전망 ( 십억원 ) Jusung 19% Axitron 18% IPS 17% ASMI 29% AVIZA 18% 자료 : Gartner, 우리투자증권리서치센터전망 주 : 메모리공정의유전막용 ALD 장비, 신규 Fab 증가분기준자료 : 우리투자증권리서치센터전망 12

13 V. 반도체재료시장의변화 ALD Precursor 시장과 CMP Slurry 시장은차세대고성장재료시장 나노공정이시작되면서기존에주목받지못하던 ALD 장비나매엽식 LP-CVD 장비가관심을받고있는것과같이반도체재료시장에서도 ALD Precursor 와 CMP Slurry 가향후성장성높은반도체재료로주목받고있다. 반도체제조공정이고직접화되고저전력화됨에따라박막증착을위한재료들이변화되고있고, 이에따라기존박막증착재료시장도변화가나타나고있다. 미세화공정심화에따라평탄화공정이점점중요해지고있으며평탄화목적외에도패턴형성을위해 CMP 적용공정이늘어나고있어 CMP 시장확대도기대되고있는상황이다. 1. 핵심 CVD Precursor 가 ALD Precursor 로전환중 나노공정본격화로핵심증착재료인절연막과금속배선막의변화 Precursor( 전구체 ) 는 CVD 나 ALD 공정에사용하는박막증착용화합물을말하며장비 Type 에따라 CVD Precursor, ALD Precursor 로구분된다. 이들반도체박막증착용화합물은크게 Gate 와배선용박막형성을위해사용되는데, 적절한 Precursor 의선택이 ALD 나 CVD 장비못지않게증착공정성패에중요하다. 반도체제조공정이고직접화되고저전력화됨에따라박막증착을위한증착재료 (Precursor) 들이변화되고있다. 가장변화가빠르게일어나고있는막질은절연막 (Capacitor의유전막, Gate 의절연막 ) 과배선금속막 ( 확산방지막, 배선용박막 ) 이다. 절연막과금속배선막은여러가지 Precursor 중핵심재료일뿐만아니라소자제조에사용되는기초적인화합물이어서이러한소재들의변화는재료시장에미치는영향이크다. 절연막의경우는저전력소자제조를위해고유전물질인 High-k를사용하려하고있으며, 금속배선막의경우는속도개선을위해알루미늄 (Al) 에서구리물질로전환되고있다. 이외에도디바이스속도개선을위해향후고성장이예상되고있는 Passivation Film 용저유전물질 (low-k) 이주목받고있는데, 이는메모리디바이스보다비메모리소자제조에서고성장이기대되는 Precursor 이다. 반도체제조에사용되는증착막분류 Passivation Film Sub-micron IC 배선용 배선금속막 배선용확산장벽용 Gates 금속용 Gate 용 반도체박막 Side Wall 용 절연막 Capacitor 용 Gate 용 자료 : 유피케미칼, 우리투자증권리서치센터 13

14 변화가예상되는증착재료 - 대부분 ALD Precursor 아래표는향후 Technology Migration에따라 ALD 장비를사용해야하는공정과, 이에따른적용가능 Precursor 를예상한것이다. 대부분의 ALD Precursor 들은절연물질과금속배선물질들이주를이루고있어앞서언급한바와같이증착물질변화가예상되는 Precursor 의응용막질과유사하다는것을알수있다. 이는미세패턴을증착을위해 ALD 장비사용이늘어나게되나, ALD 장비로증착한얇은박막은기존의물질로는소자업체에서원하는전기적특성을구현할수없어대체물질에대한 needs가생겨날수밖에없음을의미한다. 이러한이유로박막증착용화합물시장의변화가 ALD Precursor 시장의 Roadmap 과거의일치하게되는것이다. 결국반도체재료시장에서 CVD Precursor 가대부분 ALD Precursor 로전환되게된다. [ 표 7] ALD 응용막 응용막질 Barrier metal High k capacitor Laminator Film Capacitor Electrode High k gate Cu seed layer Dielectric 자료 : NECST, 우리투자증권리서치센터 Precursor TiN, TaN, TiSiN, TiAlN, TaSiN, WN, Ti, Ta Al2O3, HFO2, TaO5, STO TiN, Ru, etc HFO2, HfSiOx, ZrO2, Etc CU SiO2, SiNx ALD Precursor 시장은고부가가치시장영역 ALD Precursor 가격은 g당 3 달러이상으로 CVD Precursor 대비 3배정도가격이높다. 국내에서 ALD Precursor 를공급하는업체의영업마진은 CVD Precursor 를생산하는업체의영업마진대비 2% 이상높은수준으로파악되고있다. ALD Precursor 시장은상당기간고부가가치시장으로유지될것으로전망하는데, 이는첫째, 1 가지이상의공정조건들을맞추는화합물을찾아야하는것이기술적으로어려운일이고, 둘째 ALD Precursor 의높은장비민감도도제품개발에난점으로작용하고있기때문이다. ALD Precursor 는 Chemical 제조기술력만으로는제품개발이쉽지않다. ALD Precursor 는장비에따라재료의물성이변하게되어장비와의호환성이쉽지않다. Chemical업체들이제품개발에성공하게되어도장비와의궁합이맞지않으면적용이불가능하다. 결국 ALD Precursor 를개발하는업체들은장비기술과 Chemical 기술력모두를확보하고있어야만제품개발에유리하기때문에 ALD Precursor 시장은다수의신규진입자들이생기기어려운구조이다. 국내유전막용 High-k 시장추이 Global CVD & ALD Precursor 시장추이 ( 십억원 ) ( 백만불 ) 자료 : 우리투자증권리서치센터전망 자료 : Semi 14

15 28년국내유전막용 ALD Precursor 시장은 684 억원이될전망 SEMI(Semiconductor Equipment and Materials International) 는차세대용 CVD 및 ALD precursor 시장이 21년 1.9억달러에서 25년 5.1억달러로연평균 28% 의성장률을보일것으로전망한바있다. 나노공정본격화와함께 ALD 및 CVD precursor 시장규모는과거보다빠른속도로확대될전망이다. 국내 CVD 및 ALD Precursor 시장은 25년 7억원규모였다. 가장빠르게막질변화가일어나고있는유전막용 ALD Precursor( 지르코늄 ) One Layer 의시장규모가 억원이될것으로추정되며공정미세화와함께대체막질이추가적으로등장할경우 ALD Precursor 시장은급속도로확대될전망이다. 국내 ALD Precursor 공급업체정리 삼성 하이닉스 유피케미칼 DNF Solution 유피케미칼메카로닉스 자료 : 우리투자증권리서치센터 ALD Precursor는국내업체가선두 국내업체의 ALD precursor 의기술력은외국업체에비해크게뒤떨어지지않는다. 오히려국내업체들의기술력이앞선다고해도과언이아니다. 이는국내소자업체들의메모리공정기술이선두에있는관계로제품의양산적용이국내에서먼저이루어지고있어양산기술에서경험적으로앞서있기때문이라고할수있다. 이러한배경으로국내 ALD Precursor 시장은현재비상장업체인유피케미칼 ( 비상장업체 ) 이국내시장의 7% 를점유하고있다. 또한 ALD Precursor 의수요증가로인해국내에서관련업체들이생겨나고있는데, 메카로닉스 ( 솔믹스의자회사 ) 는 8nano 이하에서유전막용 Precursor 로쓰이고있는지르코늄 Source 의양산공급승인이끝난상황이고, DNF Solution 은삼성전자로 ALD Precursor 를공급할예정이다. 위의세업체모두비상장업체이지만 ALD Precursor 제조기술력뿐만아니라 ALD 장비개념도파악하고있어 ALD Precursor 시장확대에따라고성장이기대되는업체들이다, [ 표 8] 대표적인 Global Precursor Players 국가 대표업체 응용막질 미국 Air Product 전극재료 미국 Dupont 저유전재료 (Low-k) 영국 Epi Chem 다양한부분에서경쟁력보유 일본 Pure Chemical Co. 고유전재료 (High-K) 자료 : 유피케미칼, 우리투자증권리서치센터 15

16 2. 기술적 Issue: 왜 High-k 여야만하는가? ALD Precursor 시장에서도가장먼저시장이확대되고있는 High-k 가장먼저 CVD precursor 에서 ALD precursor 로전환되고있는응용막질은유전막과절연막용 High-k 물질이다. High-k 물질의등장은반도체공정기술측면에서기존공정이한계에달했다는점과기존반도체공정들의변화속도가빨라질것임을시사하고있다. 사실반도체재료시장에서는 High-k 시장이도래할것을예측하여 2년대초반부터연구를진행하였으며, 27년들어 High-k 시장이조금씩열리고있다. High-k 시장이왜트랜지스터의기술적변곡점이되는지에대해알아볼필요가있으며, 다음은기술적인설명이다. [ 표 9] ALD 적용예상공정중 High-k 적용공정 구분 Barrier metal High- k capacitor Laminator Film Capacitor Electrode High k gate Cu seed layer Dielectric 자료 : NECST, 우리투자증권리서치센터 응용막질 TiN, TaN, TiSiN, TiAlN, TaSiN, WN, Ti, Ta Al2O3, HFO2, TaO5, STO TiN, Ru, etc HFO2, HfSiOx, ZrO2, Etc CU SiO2, SiNx 누설전류현상으로저전력에서의트랜지스터동작한계 반도체칩사이즈가작아짐에따라반도체소자의가장기본단위인트랜지스터 (Transistor) 의사이즈역시계속작아지고있다. 트랜지스터는외부에서주는전압 (power) 으로스위치역할을하는데실리콘웨이퍼위에절연막과그위에 Poly 막으로적층하여제조한다. 트랜지스터의스위치역할을해주는것이 Gate 인데, 이 Gate 의길이가점점작아지고있다. Gate(Si) 길이가작아질수록 Gate 아래에있는절연막 (SiO2) 의두께도얇아져야하고외부에서주는전압역시낮아야한다. 문제는 Gate 의절연막두께가 Nano 공정에들어오면서극도로얇아져서외부에서흘러들어온전압이스위치역할을하는데쓰이지못하고쉽게새어나가버리게되어트랜지스터를동작시키지못한다는점이다. 이처럼누설전류현상이소자를동작하게하는데치명적이어서, 소자업체 ( 삼성, 인텔, IBM, AMD) 들은이러한누설전류현상을극복하기위해절연막 (SiO2) 물질을변경하려하고있다. 지난 4 년동안트랜지스터동작을하는데효자노릇을하여왔던기존절연막 (SiO2) 은이러한절연막물질 (SiO2) 변경은기술적으로집적공정의한계를인정하는것이라하겠다. DRAM 단면구조 Capacitor (ALD) STI Transistor Gate 절연막 (SiO2) Transistor 자료 : 우리투자증권리서치센터 16

17 누설전류현상극복을위해 SiO2 보다고유전물질 (High-K) 채택 외부에서들어온전류가새어나가버리는누설전류현상을극복하기위해서는 SiO2 막의두께를높여주거나, 유전율이높은물질 (high-k) 을채택해야한다. 그러나 SiO2 두께를올리는것은소자의 Shrink 측면에서반하는것이기도하고 SiO2 두께를올리게되면유전율이떨어지게되는문제점이있다 ( 참고, 유전율은두께와반비례관계 ). 따라서소자업체는유전율이높은 High-k 물질을채택하려하고있다. 트랜지스터의절연막은 4.~4.5 의유전율을요구한다. 1nm이상에서절연막물질로사용되었던 SiO2 의유전율 (k) 은 4.~4.5 수준이었으나두께가얇아짐에따라유전율이더높은 HfO 나 ZrO 같은물질이각광받고있다. 유전상수 (k) 가크다는것은전기분극이잘되어전류차단기능 ( 절연기능 ) 과전하저장능력 (capacitor 능력 ) 이높다는것으로의미한다. 아래표는소자업체에서연구대상이되고있는물질들의유전율차이를보여준것이다. 트랜지스터의절연막물질은 SiO2 에서 HfO 계통의물질로전환될전망이다. 그리고 45nano 에서선택된 HfO2 계통의물질또한공정세대가진화하면또다른 High-k 물질로진화할것이다. [ 표 1] Dielectric Material 의유전상수 (k) Dielectric Al2O3 HfSiO4 Y2O5 HfO2 ZrO2 La2O5 LaAlO5 TiO2 STO 유전상수 (k) 11~ ~4 12~ ~2 자료 : ETRI, 우리투자증권리서치센터 Dram Capacitor 공정에 High-k 물질채택시작 Logic 소자의트랜지스터와같이 DRAM 공정에서는커패시터 (capacitor) 의유전물질이극도로얇아지면서트랜지스터와마찬가지로누설전류현상이문제가되고있다. 국내소자업체들이 Nano 공정을본격적으로도입한 26년하반기 8nano DRAM 공정부터본격적인 High-k 물질이적용되기시작했다. 1nano 이상 Dram 커패시터공정에사용되던물질 ( 유전체 ) 은 SiO2 였으나, DRAM 사이즈가작아지면서아래표에서보는바와같이유전상수가높은 SiO2 Al2O3 ZrO2 순으로변화되어가고있다. High-k 물질의정확한조성비나유전율은소자업체별로차이가있으며, 공정기술상의 Know-how이기도하다. [ 표 11] Design Rule 에따른 Capacitor 공정의변화 Design Rule 1nm 이상 1nm-8nm 8nm-5nm 5nm 이하 유전막질 (material) SiO2 Al2O3 HfO, ZrO TiO, STO,BST 유전상수 (k) 4.~4.5 11~13 18~4, 12~2 28~2 적용가능장비 LP,PE MOCVD, ALD ALD ALD 장비타입 Batch/Semi/Single Batch/Semi/Single Semi/Single Semi/Single 자료 : 주성엔지니어링, 우리투자증권리서치센터 17

18 3. 미세공정화에따른 CMP 시장확대전망 Ceria CMP Slurry와 Metal CMP Slurry 는고성장 + 고부가가치 미세공정이진행됨에따라또하나의고성장이예상되는재료시장은 CMP Slurry 시장이다. CMP(Chemical Mechanical Polishing) 는기계적연마와화학적연마를결합한평탄화기술을말하는데, CMP 공정을좀더세밀하게구분하면연마대상막질에따라산화막 (Oxide) CMP, Metal CMP 로구분되고공정별는 ILD CMP, STI CMP, Metal CMP 로구분된다. ILD CMP 와 STI CMP 의연마대상막질이산화막 (Oxide) 이어서산화막 (Oxide) CMP 로구분된다. 최근배선재료변화로인해 CMP 연마재료인 CMP Slurry 는산화막 CMP Slurry 에서 Metal CMP Slurry 로제품개발의중심이옮겨가고있다.. [ 표 12] CMP Slurry 적용공정과연마입자비교 ILD CMP STI CMP METAL CMP 공정이유 층간절연막평탄화 소자분리 금속배선층평탄화 연마대상막질절연막 (oxide) 절연막 (Oxide) W(Tungsten) Cu(copper) 적용 Slurry Fumed Silica Ceria Fumed Silica Al2O3 Fumed Silica Al2O3, MnO 가격 ( 원 /gal) 7,~7,7 18,~2,75 21,5~23,5 23,~25, 성장성 Stable growth High growth - Very High growth 공정 issue Scratch 선택비 국내업체제일모직, 테크노세미켐케이씨텍 국외업체 Cabot, R&H Hitachi chemical Cabot, JSR 국산화단계 국산화 국산화시작 국산화안됨 자료 : CMRI (26.9), 우리투자증권리서치센터 국네 CMP Slurry 시장에서 Metal CMP Slrruy는 51.7%, 산화막 CMP Slurry 는 48.3% 차지 24년국내시장에서사용되는 CMP Slurry 의비중을살펴보면 ILD CMP Slurry 에사용되는 Fumed Silica CMP Slurry 는 37.9%, STI 공정에사용되는 Ceria CMP Slurry 는 1.3%, Metal CMP Slurry(Cu CMP Slurry 와 W CMP Slurry) 는약 51.7% 를차지하고있다. 위의 Data 는 24년자료라 27년현재와는차이가날수있지만, 24년과마찬가지로현재도 Cu CMP Slurry 가아직본격적으로쓰이지않고있는것을감안할때제품별비중추이를보는데는유용할것으로판단된다. 하지만 Fumed Silica CMP 의가격하락속도를감안하면현재 Ceria CMP Slurry 비중이다소높아졌을것으로예상된다. ILD CMP Slurry 는이미성숙된시장으로기술적진입장벽이없는저가시장이되어버렸으나, Ceria CMP Slurry 나 Metal 용 CMP Slurry 의경우아직기술적진입장벽이높은시장이다. Cabot 은 Metal 용 CMP Slurry 시장에집중하고있는상황이며, Hitachi Chemical 은 Ceria CMP Slurry 시장을거의독점하고있다. 18

19 Global CMP Slurry 시장 M/S (25 년기준 ) 국내 CMP Slurry 제품별비중 (24 년기준 ) Ceria Fujimi 6% Other 24% Cabot 25% Cu/AL (11.5%%) (1.3%%) Fumed silica (37.9%) Hitach Chemical 7% R&H 38% W (4.2%%) 자료 : Linx Consulting(25), 우리투자증권리서치센터 자료 : 화학저널 (25), 우리투자증권리서치센터 Fumed Silica CMP Slurry는대부분국산화, Ceria 와 Metal CMP Slurry 국산화노력활발 26년국내 CMP 장비시장은 1,82억원규모였으며전공정장비시장의약 2.4% 를차지하고있다. CMP 공정에소모품으로사용되고있는 CMP Slurry 의국내시장규모는 26년 1,82억원정도로세계 CMP Slurry 시장의약 14% 를차지하고있다. CMP Slurry 국산화율은약 34% 정도이다. ILD CMP 연마제로쓰이는 Fumed Silica Slurry 는 2년에국산화에성공한후국내업체들의경쟁격화로가격이급락하면서영업마진수준이 1% 이하로떨어져반도체재료시장에서는상대적으로낮은영업이익률을보이고있다. 하지만 STI 용 Ceria CMP Slurry 와 Metal CMP Slurry 는공급업체의제한으로고부가가치시장으로남아있다. 케이씨텍은 Ceria CMP Slurry 국산화성공으로 28 년부터국내 CMP Slurry 시장에서 M/S 확대될전망 STI 용 Ceria CMP Slurry 는 9 년대후반부터 LG 화학, 한화석유화학, 테크노세미켐, 케이씨텍등이국산화를위한제품개발을시작했으나대부분뚜렷한성과를보지못했다. 하지만최근케이씨텍이 Ceria CMP Slurry 를국산화하는데성공하였다. Ceria CMP Slurry 를개발해서시장을거의독식하고있는 Hitachi Chemical 역시제품개발초기상당한개발기간이소요될정도로 Ceria CMP Slurry 는기술적난이도가높은제품이다. Ceria CMP Slurry 는선택비가기술력을나타내는 Key Factor 인데, 선택비란여러막질중특정막질을선택적으로연마하는것을말하며선택비가좋은 CMP Slurry 일수록 STI 공정적용가능성이높아진다. STI 공정은소자간분리를해주는반도체제조에서 Critical 공정이며 STI 공정의핵심공정은 CMP 공정이다. 국산화를통해가격하락도유도할수있다는장점과핵심공정소재의해외의존도가너무높아소자업체의국산화의지가강력할수밖에없다. 케이씨텍의이번 Ceria CMP Slurry 국산화성공으로외산소재의대체효과가빠르게일어날것으로전망된다. 케이씨텍은현재하이닉스의양산라인에제품검증이진행중이며, 28년부터 CMP Slurry 가본격적으로케이씨텍의매출및이익에기여할수있을것으로전망된다. 19

20 Global CMP 재료 (Slurry+pad) 시장전망 Ceria CMP Slurry 시장전망 (%) CMP Slurry 시장 ( 우 ) ( 백만불 ) 1,2 성장률 ( 좌 ) 1, E 28F ( 십억원 ) 국내 ceria 해외 ceria E 28F 29F 21F 자료 : SEMI(26), 우리투자증권리서치센터 자료 : 케이씨텍 2

21 4. 기술적 Issue: CMP 공정이평탄화공정에서패턴형성공정으로확대 산화막 CMP 는평탄화공정과 STI 공정에사용되고있어 ILD CMP 는배선과배선을절연하기위해증착된절연막이하부패턴에따라굴곡 ( 단차 ) 이생기는것을 CMP 공정으로평탄하게해주는것으로말하는데현재까지많이쓰이고있으며성숙된시장이다. 공정이미세화될수록배선을선명하게찍어내는해상능력이높아져야하고, 해상능력이높아질수록초점심도가감소하기때문에굴곡으로인한 Photo 공정의한계에부딪히게된다. 반도체제조공정은막질증착과패턴형성과정이반복적으로수행되기때문에굴곡 ( 단차 ) 이생기는것은매우자연스러운현상인데, CMP 공정은이러한굴곡들을평탄하게만들어후속 Photo 공정이가능하도록해주고있다. 사실상평탄화공정은 CMP 공정외에도 Reflow, SOG, Etch back Process 등의여러가지공정이있는데미세공정이진행되면서다른공정은소자업체에서요구하는평탄화정도를만족시켜주지못하고있는상황인반면 CMP 는다른평탄화공정에비하여약 1~1,배의평탄화범위를가지고있어 CMP 공정의 Needs 가점점늘어나고있다. Memory 금속배선층은 2~3 층이고작인반면 Logic Device 의경우는금속배선층이 8~1 층을이루고있어 Logic 디바이스의 ILD CMP Slurry 시장규모가훨씬크다. STI CMP(Shallow Trench Isolation CMP) 시장은.2um 이하에서소자간분리기술에 STI 공정과더불어 CMP 공정이쓰이게되면서생겨나게된시장인데 ILD 시장에비해선상대적으로뒤늦게형성된시장이다. 금속배선형성에도사용되고있는 CMP 공정 Metal CMP 공정은현재메모리디바이스에적용되고있는 W-plug CMP 와메모리디바이스에서채택을앞두고있는 Cu 다마신 (Damascene) 공정이대표적이라고할수있다. Cu 다마신 (Damascene) 공정은 ILD 나 STI 같은평탄화공정에 CMP 공정이쓰이는것이아니라배선형성공정에 CMP 공정이적용되는것이다. 금속저항을낮추기위해금속배선이알루미늄 (AL) 에서구리 (Cu) 로바뀌게되면서 Cu 의패턴형성시 Photo/Etch 공정을사용하지못함에따라상감기법 ( 패턴형성할곳에구리를채우고 CMP 로없애는방법 ) 으로금속배선패턴을형성하는방법이다. 평탄화공정에사용되는 CMP 공정 Before CMP After CMP 자료 : 케이씨텍 21

22 Global Peer Analysis I - Earnings ( 단위 : 백만달러 ) 회사명 주성엔지니어링 Hitachi Kokusai Electric Inc. ASM International N.V. Applied Materials Inc. Tokyo Electron Ltd. Dainippon Screen Manufacturing Co. Ltd. Cabot Microelectronics Corp. CVD Equipment Wet Equipment CMP Slurry Hitachi Chemical Co. Ltd. 주가 (8월 16일, 달러 ) 시가총액 ,37.7 1, , , , , ,643 1,157 9,167 7,216 2, ,325 매출액 27E 216 1,691 1,258 9,79 7,59 2, ,538 28F 268 1,718 1,359 1,129 7,539 2, , ,233 1, 영업이익 27E ,466 1, F ,554 1, ,686 1, EBITDA 27E ,714 1, N/A 88 28F ,69 1, N/A , 순이익 27E , F , EPS ( 달러 ) 27E F BPS ( 달러 ) 27E N/A 11.4 Net Debt (-Net Cash) 28F N/A , E ,686-1, N/A F ,86-2,66 88 N/A -193 자료 : Factset, Reuters, I/B/E/S 전망, 우리투자증권리서치센터 ( 주성엔지니어링의주가는 8 월 17 일기준 ) Global Peer Analysis II - Valuation 회사명 PER ( 배 ) PBR ( 배 ) EV/EBITDA ( 배 ) ROE (%) ROA (%) Div. Yield (%) 주성엔지니어링 Hitachi Kokusai Electric Inc. ASM International N.V. Applied Materials Inc. Tokyo Electron Ltd. Dainippon Screen Manufacturing Co. Ltd. Cabot Microelectronics Corp. CVD Equipment Wet Equipment CMP Slurry Hitachi Chemical Co. Ltd E F E N/A F N/A N/A E N/A N/A F N/A N/A E F N/A E N/A N/A N/A F N/A N/A N/A E N/A F N/A 1.4 자료 : Factset, Reuters, I/B/E/S 전망, 우리투자증권리서치센터 22

23 기업분석 주성엔지니어링 (3693.KQ) Buy ( 신규 ) 목표주가 26,3 원 ( 신규 ) Analyst 최태이 2) , taeey.choil@wooriwm.com 박영주 2) , young.park@wooriwm.com 아직도보여줄것이많다 투자의견 Buy 및목표주가 26,3 원신규제시주성엔지니어링대해 Buy 투자의견과목표주가 26,3 원을신규제시한다. 목표주가는 RIM( 잔여이익모델 ) 을이용하여산출하였으며 RIM 을위한가정으로 Market risk premium 5.%, risk free rate 5.3%, 베타 1.2, 이로써산출된 COE 11.3% 를적용하였다목표주가대비주가상승여력은 4.4% 이고다변화된장비포트폴리오와신규반도체장비매출가능성으로성장잠재력을높이고있는점이긍정적으로판단되어투자의견 Buy 를제시한다. 반도체와 LCD 투자상승 Cycle 이동시에실적호조이어진다 업종 반도체 현재가 ( 7/8/17) 18,75원 KOSPI 1,638.7 KOSDAQ 시가총액 ( 보통주 ) 65.9십억원 발행주식수 ( 보통주 ) 32.4백만주 액면가 5원 12일평균거래량 1,91,699주 12일평균주가 9,943원 52주최고가 ('7/7/16) 27,5원 최저가 ('6/8/17) 6,31원 배당수익률 (26). % 유동주식비율 외국인지분율. % 주요주주 황철주 27.3 % Grantham Mayo Van Otterloo & Co LLC (GMO) 4.5 % 주가상승률 (%) 3개월 6개월 12개월 절대수익률 상대수익률 년은 LCD 와반도체투자경기가 27 년보다개선될것으로예상되면서동사의실적호조세가계속될전망이다. 28 년국내반도체장비시장은 6.7% 증가 (y-y) 한 1.5 조원이될것으로전망되며, LCD 전체장비시장은 +14.8% 성장 (y-y) 할것으로전망된다. Solar Cell 시장으로의신규업체진입이 28 년에도계속될것으로전망되어 Solar Cell 장비의추가수주가능성도여전히존재하고있다. 28 년국내외투자환경개선으로동사의 EPS 는 16.9% (y-y) 증가할수있을것으로전망된다. 장비업체임에도불구하고계속성장에대해낙관적일수있는이유 27 년은 LCD 장비외에반도체장비제조경쟁력까지입증하는한해가되고있다. 변동성이심한장비업체임에도불구하고동사의계속성장에대해긍정적으로평가하며그이유는다음과같다. 첫째 ALD 장비외에도반도체장비내에서계속적으로매출품목다변화가가능할것으로전망되기때문이다. 27 년동사는 ALD 장비외에 Dry Etcher 와 Bevel Etcher 를양산장비로공급하는데성공하였고 28 년이러한후속장비들의추가수주가능성이높다고판단된다. 둘째, ALD 장비를통해서 Global 반도체장비시장에서의인지도가상승하고있어해외메모리업체를중심으로동사의 M/S 가계속확대될것으로전망되기때문이다. 셋째, 박막형태양전지장비제조분야에서상대적으로선두권에위치한동사가박막형태양전지시장의확대에따라수혜를볼것으로전망되기때문이다. 결산기-12월 매출액증감률영업이익세전순이익순이익 EPS 증감률 PER PBR EV/EBITDA ROE 부채비율순차입금 ( 십억원 ) (%) ( 십억원 ) ( 십억원 ) ( 십억원 ) ( 원 ) (%) ( 배 ) ( 배 ) ( 배 ) (%) (%) ( 십억원 ) E , F , F , 주 : 과거결산기주가지표는해당결산기말종가기준임자료 : 우리투자증권리서치센터전망 당사는자료작성일현재 " 주성엔지니어링 " 발행주식을 1% 이상보유하고있지않습니다. 당사는동자료를기관투자가또는제 3 자에게사전제공한사실이없습니다. 동자료의조사분석담당자는자료작성일현재동주식을보유하고있지않습니다. 당사는 " 주성엔지니어링 " 의자사주취득위탁증권회사임을알려드립니다. 동자료에게시된내용들은본인의의견을정확하게반영하고있으며, 외부의부당한압력이나간섭없이작성되었음을확인합니다.

24 주성엔지니어링 투자의견 BUY 및목표주가 26,3 원신규제시 투자의견 Buy 및목표주가 26,3 원신규제시 주성엔지니어링에대하여투자의견 Buy 및목표주가 26,3 원을신규제시하며 Coverage 를개시한다. 목표주가는 RIM(Residual Income Model) 모델을적용하여산출하였으며, 주요가정으로무위험수익률 5.3%, Market risk premium 5.%, 베타 1.2 를적용하였다. LCD 에서반도체, 그리고 Solar Cell 산업으로장비포트폴리오다변화와신규장비수주가능성으로성장잠재력을높이고있는점이긍정적으로판단되어투자의견 Buy 를제시한다. 주성엔지니어링잔여이익모델 (RIM) ( 단위 : 십억원, 원 ) 27E 28F 29F 21F 211F 212F 213F 214F 215F 216F 217F 218F Net profit Shareholder's equity ,54 1,25 1,476 Forecast ROE (FROE) 21.6% 2.6% 2.6% 23.5% 22.6% 24.4% 23.7% 21.7% 21.5% 21.2% 21.% 2.9% Spread (FROE-COE) 1.3% 9.3% 9.3% 12.2% 11.3% 13.1% 12.4% 1.4% 1.2% 9.9% 9.7% 9.6% Residual Income Cost of Equity(COE) 11.3% Beta 1.2 Market Risk Premium (Rm-Rf) 5.% Risk Free Rate (Rf) 5.3% Beginning Shareholder's equity 134. PV of Forecast Period RI PV of Continuing Value Equity Value(C+P) 758. No. of Shares(Common, mn) m TP Fair Price(C) 26,32 Current Price(C) 18,75 Upside(-Downside) 4.4% Implied P/B(x) 5.2 Implied P/E(x) 26.3 주 : RIM(Residual Income Model, 잔여이익모델 ) 은현금흐름할인모형의하나로주주입장에서투자원금인자기자본과자기자본비용을초과하는이익 ( 잔여이익 ) 의현재가치를합한금액을적정주주가치 ( 자기자본가치 ) 로보는 Valuation 모델이다. 산식으로표현하면다음과같다. 자기자본가치 = 자기자본 + 미래잔여이익의현재가치합 * 잔여이익 (RIt) = 당기순이익t - 자기자본t-1 * 자기자본비용 (Cost of Equity)t = 자기자본t-1 * (ROEt - COEt) RIM은이론적으로배당할인모형 (DDM), 잉여현금흐름할인모형 (DCF) 과같은결과를도출하면서도측정지표상의주관성을최소화시킨객관적인 Valuation 모델이라고할수있어당사 Valuation 기본모델로사용하고있다. 자료 : 우리투자증권리서치센터전망 24

25 주성엔지니어링 PBR Band 상역사적고점인 4.7 배를적용하면 29,4원 RIM 을통해산출된주가는 26,3원이다. 아직은 visibility 가낮아실적추정치에공격적으로반영하기어려우나다변화된장비포트폴리오와신규반도체장비매출로실적추정치가상향될가능성도있다. 따라서신규장비수주가능성이가시권에들게되면 valuation 상승여력이높아질것으로전망된다. 동사주가산정의추가적인보조지표로 PBR Multiple 을이용하면, 동사의주가는과거 PBR Band 상최고점인 PBR 4.7 배도충분히도달가능할것으로전망된다. 28년 BPS 6,24원에역사적최고치인 PBR 4.7 배를적용하면 29,4 원이된다. 동사의 PBR 의역사적최고점이었던 24년의국내장비시장환경과동사의내부경쟁력을 27년현재와비교해보면, 현재상황이대내외적으로동사에게훨씬우호적인상황이다. 27년현재동사는내적으로는반도체, LCD, Solar Cell 등으로제품포트폴리오를다각화하면서성장잠재력을높여가고있는상황이며, 외부적인영업환경역시기술변화에따라국내장비업체들의역할비중이증가하고있어국내장비업체들에게반도체제조업체들의관심이증가되고있는상황이다. 이러한대내외적인변화이외에도, 동사의 EPS 는 27년 9.1% 의고성장에이어 28년에도 16.9% 의성장을시현해나갈것으로전망되고있어 28년실적개선기대감까지유효한상황이다. 따라서이러한상황을고려해봤을때과거동사가받던 Valuation Multiple 최고치에도달할가능성이높다고판단된다. PBR Band Peer Group 상대주가 Performance ( 원 ) 3, 4.5x 25, 4.x 3.5x 2, 3.x 15, 2.5x 1, 2.x 5, '3.1 '3.12 '4.12 '5.12 '6.12 '7.12 (%) 국제엘렉트릭 케이씨텍 4 아이피에스 아토 35 유진테크 피에스케이 주성엔지니어 '6.1 '6.4 '6.7 '6.1 '7.1 '7.4 '7.7 자료 : Dataguide Pro, 우리투자증권리서치센터 자료 : Dataguide Pro, 우리투자증권리서치센터 25

26 주성엔지니어링 [ 표 13] 국내반도체장비업체 Valuation 비교 장비업체 코드명 관련산업 반도체 LCD 태양전지 8F EPS growth 주성엔지니어링 3693 O O O +16.9% 국제엘렉트릭 5374 O +17.9% 유진테크 8437 O +55.7% 케이씨텍 2946 O O +56.2% 피에스케이 3198 O +24.1% 주 : 주가기준일 (8 년 17 일 ), 자료 : 우리투자증권리서치센터전망, 피에스케이는 FnGuide Consensus 8F P/E 8F P/B 2H7 및 28 년실적전망 28년매출액은 2,554억원 (+24.7% y-y), 영업이익은 425억원 (+32.3% y-y) 예상 1H7 에하이닉스의투자가 73% 가까이진행되면서 1H7 동사의실적호조에상당한영향을미쳤으나, 2H7 실적개선폭은다소둔화될전망이다. 2H7 은대만메모리업체인프로모스의 Capa 증설과하이닉스의중국우시증설투자로인한장비수주가실적에영향을줄것으로판단되며, 태양전지용장비수주액이 3Q7 중장비입고와함께대부분인식될것으로전망된다. 영업이익률은 3Q7 15.4%, 4Q7 5.9% 를달성할것으로전망되는데영업이익율에민감하게영향을미치는연구개발비 (27년 38억원예상 ) 가상반기에 66% 가집행됨에따라하반기매출액이감소함에도불구하고비용부담이크지않은상황이다. 28년 LCD 와반도체장비시장의우호적인영업환경으로동사의 28 매출액은 2,554억원 (+24.7% y- y), 영업이익은 425 억원 (+32.3% y-y) 이될것으로전망된다. 동사는매출액대비 15% 이상의금액을매년연구개발비로투자하고있으며개발비모두를비용처리를하고있다. 28 년역시연구개발비로약 38억원정도가투자될것으로전망되며 28년매출규모확대로인한고정비절감효과 ( 연구개발비비중감소 ) 로영업이익률이 27년 15.7% 에서 8년 16.6% 로약 1%p 증가할것으로예상된다. 제품별매출비중의차이 주성엔지니어링의 ALD 장비매출액추이 1% 8% 6% 4% 2% % 반도체 LCD 태양전지 기타 % % % 4% 3% 18% 15% 13% 7% 29% 73% 85% 82% 74% 56% 27% 15% E 28F ( 십억원 ) ALD 장비매출액 ( 좌 ) (%) 16 1, 성장률 ( 우 ) E 자료 : 주성엔지니어링, 우리투자증권리서치센터전망 자료 : 우리투자증권리서치센터전망 26

27 주성엔지니어링 28년제품별매출액을비중을살펴보면반도체장비매출비중이 74.1% 에서 55.7% 로감소하고, LCD 장비비중이 6.6% 에서 28.6% 로증가할것으로예상된다. 반도체장비매출액이전년보다감소할것으로전망하는이유는 28년완공되는하이닉스의 11 라인이 NAND 중심으로장비구입이이루어질것으로예상됨에따라 DRAM 을 Target 으로하고있는유전막용 ALD 장비수요가감소할것으로전망되기때문이다. 28년실적추정을하는데있어 LCD 매출액전망은 LPL 8G 향 PE-CVD 장비수주분만을감안한것으로서해외 LCD 투자증가에따른수주예상을실적추정치에반영하지않았다. 다소보수적인추정치라판단되며 28년 LCD 투자가예상되는중국, 인도대만등의패널업체로부터 5G~ 6G 급의장비수주를받을경우 28년실적에추가적인 upside 가가능할것으로전망된다. 28년 Solar Cell 장비매출은 27년과비슷한수준인 31 억원규모로추정하였다. 이렇게예상한근거는현재 2MW 규모로태양전지생산을계획하고있는한국철강의추가적인 Capa 증설과이에따른장비수주가능성에대해긍정적으로판단하고있기때문이다. 동사는현재박막형태양전지장비외에도, 국책과제로실리콘기반의태양전지증착장비개발을진행중이다. 반도체및 LCD 증착장비제조에많은경험과기술경쟁력을보유하고있어실리콘기반의태양전지증착장비개발가능성도긍정적으로기대해볼수있다고판단되나, 28년매출가능성에대한 visibility가떨어져실적추정치에는아직반영하지않았다. 하지만실리콘기반의태양전지시장이전체태양전지시장의 9% 이상을차지하고있는상황에서실리콘기반의태양전지증착장비개발여부는주가상승의촉매제가될전망이어서관심있게지켜봐야할부분이다. 분기별실적추이및전망 ( 단위 : 십억원 ) 1Q7 2Q7 3Q7E 4Q7F 1Q8F 2Q8F 3Q8F 4Q8F 27E 28F 매출액 SEMI 장비 LCD 장비 Others(Solar 포함 ) y-y 85.3% 114.% 52.1% 11.6% -11.9% -19.6% 42.5% 189.8% 69.2% 24.7% q-q 167.1% 8.6% -19.1% -52.5% 11.9% -.9% 43.4% -3.3% 영업이익 영업이익률 19.3% 16.3% 15.4% 5.9% 14.4% 14.1% 18.5% 18.2% 15.7% 16.6% 자료 : 주성엔지니어링, 우리투자증권리서치센터전망 27

28 주성엔지니어링 INCOME STATEMENT VALUATION INDEX ( 십억원 ) 26/12A 27/12E 28/12F 29/12F 26/12A 27/12E 28/12F 29/12F 매출액 EV/ EBITDA (X) 증가율 (%) EV/ EBIT (X) 매출원가 PER (X) 매출총이익 조정PER (X) Gross 마진 (%) PCR (X) 판매비와일반관리비 PBR (X) EBITDA PSR (X) EBITDA 마진 (%) PEG (X) 감가상각비 & 무형자산상각비 PER/ 주당EBIT 증가율 (X) 영업이익 PER/ 주당EBITDA 증가율 (X) OP 마진 (%) Enterprise Value 영업외수익 주당EPS CAGR (3년, FD) (%) 영업외비용 주당EBIT CAGR (3년, FD) (%) 순이자수익 / ( 비용 ) 주당EBITDA CAGR (3년, FD) (%) 경상이익 주당EBIT (FD) (W) 384 1,2 1,326 1,67 RP 마진 (%) 주당EBITDA (FD) (W) 482 1,12 1,43 1,779 세전순이익 EPS (FD) (W) 527 1,2 1,172 1,445 법인세비용 조정EPS (FD) (W) 547 1,2 1,172 1,445 순이익 CFPS (W) ,296 1,473 Net 마진 (%) BPS (W) 4,158 5,77 6,24 7,68 조정순이익 주당매출액 (W) 3,777 6,39 7,967 8,927 CASH FLOW STATEMENT RIM & EVA ( 십억원 ) 26/12A 27/12E 28/12F 29/12F 26/12A 27/12E 28/12F 29/12F 영업활동현금흐름 RIM 당기순이익 Spread (FROE-COE) (%) 감가상각비 & 무형자산상각비 Residual Income 지분법손실 (- 이익 ) M RIM-based Target Price(W) 26,32 + 외화환산손실 (-이익).6... 경제적부가가치 (EVA) + 고정자산매각손실 (-이익) 투하자본 Gross Cash Flow 세후영업이익 운전자본의증가 (+ 감소 ) 투하자본이익률 (%) 투자활동현금흐름 투하자본이익률 - WACC (%) 유형자산감소.8... EVA 유형자산증가 (CAPEX) Discounted Cash Flow + 투자유가증권매각 (- 취득 ) EBIT Free Cash Flow 감가상각비 Net Cash Flow CAPEX 재무활동현금흐름 Free cash flow for DCF valuation 자기자본증감 가중평균자본비용 (WACC) (%) 부채증감 부채비용 (COD) 현금 & 단기금융상품증가 (- 감소 ) 자기자본비용 (COE) 기말순부채 ( 순현금 ) WACC BALANCE SHEET PROFITABILITY & STABILITY ( 십억원 ) 26/12A 27/12E 28/12F 29/12F 26/12A 27/12E 28/12F 29/12F 현금및단기금융상품 자기자본이익률 (ROE) (%) 매출채권 총자산이익률 (ROA) (%) 유동자산 투하자본이익률 (ROIC) (%) 유형자산 EBITDA/ 자기자본 (%) 투자자산 EBITDA/ 총자산 (%) 고정자산 배당수익률 (%).... 자산총계 총현금배당금 ( 십억원 ).... 단기성부채 보통주주당현금배당금 ( 중간 + 기말 ) 매입채무 순부채 ( 현금 )/ 자기자본 (%) 유동부채 총부채 / 자기자본 (%) 장기성부채 순이자비용 / 매출액 (%) 장기성충당금 EBIT/ 순이자비용 (X) 고정부채 유동비율 (%) 부채총계 당좌비율 (%) 자본금 총발행주식수 (mn) 자본잉여금 액면가 (W) 이익잉여금 주가 (W) 7,56 18,75 18,75 18,75 자본총계 시가총액 ( 십억원 )

29 기업분석 케이씨텍 (2946.KS) Buy ( 신규 ) 목표주가 1,2 원 ( 신규 ) Analyst 최태이 2) , taeey.choi@wooriwm.com 박영주 2) , young.park@wooriwm.com 질적변화에주목하라 투자의견 Buy 및목표주가 1,2 원신규제시케이씨텍에대하여투자의견 Buy 및목표주가 1,2 원으로 Coverage 를개시한다. 목표주가 1,2 원은 RIM 모델을이용해산출된것이며 28 년 EPS 기준으로 P/E 14. 배에해당된다. 28 년실적개선기대감으로주가상승이진행되고있으나산업및제품다각화로성장동력을확보한동사의질적변화가아직주가에충분하게반영되지않은것으로판단된다. 28 년실적개선모멘텀과사업역량강화에따른기업가치재평가로주가상승은계속될전망이어서 2H7 반도체장비주 Top-Pick 으로제시한다. LCD, 반도체사업전분야에서큰폭의실적증가세예상 업종 의료정밀 현재가 ( 7/8/17) 5,32원 KOSPI 1,638.7 KOSDAQ 시가총액 ( 보통주 ) 151.십억원 발행주식수 ( 보통주 ) 28.4백만주 액면가 5원 12일평균거래량 218,63주 12일평균주가 4,24원 52주최고가 ('7/7/13) 7,26원 최저가 ('6/1/9) 3,78원 배당수익률 (26) 1.22 % 유동주식비율 외국인지분율. % 주요주주 고석태 35.3 % 오희복 7.8 % 주가상승률 (%) 3개월 6개월 12개월 절대수익률 상대수익률 국내외 LCD 장비시장이기지개를펴고있으며국내소자업체의반도체 12인치 Fab 증설활동은 28 년까지도계속될것으로전망되어 28 년국내장비시장영업환경은 27 년보다긍정적일것으로평가된다. 동사는국내반도체및 LCD 업체모두에게장비공급이가능하고 LCD 의경우해외로부터장비수주를받고있는상황이라장비시장호황에따른대표적인수혜업체이다. 28 년은장비시장의영업환경호전에따라사업부전분야에서큰폭의실적개선이이루어질전망이다. 28 년 LCD 장비매출액은 27 년보다 +69.5% 가증가한 978 억원이될전망이며반도체장비매출액은반도체용 Wet 장비의 M/S 확대로 781 억원 (+24.4% y-y) 이될것으로예상된다. 반도체장비및소재시장으로의성공적인진입은기업가치상승에긍정적 LCD wet 장비를주력으로하던동사는반도체 Wet 장비와반도체소재인 CMP Slurry 제품개발에성공하면서반도체장비및재료시장에성공적으로진입한것으로판단되다. 반도체용장비및소재의매출액이지속적으로증가하면서반도체관련매출비중이 26 년 28.4% 에서 29 년 61.% 까지상승할전망이다. 반도체관련매출비중이상승하는것은매출의안정성이나수익성측면에서긍정적으로평가할수있어기업가치상승을수반할것으로전망된다. 자회사들의매출액및이익도꾸준하게증가추세를보이고있어지분법평가이익도동사의 EPS 상승에지속적으로기여할전망이다. 결산기 -12 월 매출액증감률영업이익세전순이익순이익 EPS 증감률 PER PBR EV/EBITDA ROE 부채비율순차입금 ( 십억원 ) (%) ( 십억원 ) ( 십억원 ) ( 십억원 ) ( 원 ) (%) ( 배 ) ( 배 ) ( 배 ) (%) (%) ( 십억원 ) E F F 주 : 과거결산기주가지표는해당결산기말종가기준임자료 : 우리투자증권리서치센터전망 당사는자료작성일현재 " 케이씨텍 " 발행주식을 1% 이상보유하고있지않습니다. 당사는동자료를기관투자가또는제 3 자에게사전제공한사실이없습니다. 동자료의조사분석담당자는자료작성일현재동주식을보유하고있지않습니다. 동자료에게시된내용들은본인의의견을정확하게반영하고있으며, 외부의부당한압력이나간섭없이작성되었음을확인합니다.

30 케이씨텍 투자의견 BUY, 목표주가 1,2 원신규제시 투자의견 Buy, 목표주가 1,2 원신규제시 반도체장비와재료로제품다변화에성공한케이씨텍에대하여투자의견 Buy 및목표주가 1,2원을신규제시하며 coverage 를개시한다. 목표주가는 RIM(Residual Income Model) 을적용하여산출되었으며, 주요가정으로무위험수익률 5.3%, Market risk premium 5.%, 베타 1.2 를적용하였다. 목표주가 1,2원은 27년, 28년 EPS 기준으로각각 21.9 배, 14. 배에해당한다. 케이씨텍잔여이익모델 (RIM) ( 단위 : 십억원, 원 ) 27E 28F 29F 21F 211F 212F 213F 214F 215F 216F 217F 218F Net profit Shareholder's equity Forecast ROE (FROE) 12.3% 16.9% 15.7% 17.3% 17.4% 17.5% 17.3% 17.2% 17.2% 17.3% 17.4% 17.5% Spread (FROE-COE) 1.% 5.6% 4.4% 6.% 6.1% 6.2% 6.% 5.9% 5.9% 6.% 6.1% 6.2% Residual Income Cost of Equity(COE) 11.3% Beta 1.2 Market Risk Premium (Rm-Rf) 5.% Risk Free Rate (Rf) 5.3% Beginning Shareholder's equity 1. PV of Forecast Period RI 85.1 PV of Continuing Value 7.2 Equity Value(C+P) No. of Shares(Common, mn) m TP Fair Price(C) 1,181 Current Price(C) 5,32 Upside(-Downside) 91.4% Implied P/B(x) 2.7 Implied P/E(x) 21.9 주 : RIM(Residual Income Model, 잔여이익모델 ) 은현금흐름할인모형의하나로주주입장에서투자원금인자기자본과자기자본비용을초과하는이익 ( 잔여이익 ) 의현재가치를합한금액을적정주주가치 ( 자기자본가치 ) 로보는 Valuation 모델이다. 산식으로표현하면다음과같다. 자기자본가치 = 자기자본 + 미래잔여이익의현재가치합 * 잔여이익 (RIt) = 당기순이익t - 자기자본t-1 * 자기자본비용 (Cost of Equity)t = 자기자본t-1 * (ROEt - COEt) RIM은이론적으로배당할인모형 (DDM), 잉여현금흐름할인모형 (DCF) 과같은결과를도출하면서도측정지표상의주관성을최소화시킨객관적인 Valuation 모델이라고할수있어당사 Valuation 기본모델로사용하고있다. 자료 : 우리투자증권리서치센터전망 3

31 케이씨텍 성장동력확보로성장잠재력높아진동사의기업가치재평가필요 달라진내부체질변화가주가에반영될전망 LCD 용 Wet 장비를주력사업으로영위하는동사는급변하는 LCD 장비시장의영업환경에따른실적변동리스크과성장모멘텀의부족으로주가가한동안 PER Band 7~8 배근처에머물러있었다. 최근 28년 LCD 투자경기회복에대한기대감으로주가가상승하고있으나아직동사의내부체질변화를완전하게반영하지는않은것으로판단된다. 27년동사는외산업체들이장악하고있던반도체용 Wet 장비시장에서빠르게 M/S 를확대중이고, 고부가가치반도체재료인 CMP Slurry 개발에성공하면서관련산업및제품다각화에성공한것으로평가된다. 28년뚜렷한실적개선모멘텀과견고해진제품포트폴리오로성장잠재력이부각되면서주가상승행진은계속될것으로전망된다. PER Band PBR Band ( 원 ) 1, 8, 6, 4, 2, 13x 11x 9x 7x 5x ( 원 ) 1, 8, 6, 4, 2, 2.2x 1.9x 1.6x 1.3x 1.x.7x '3 '4 '5 '6 '7 '3.1 '3.12 '4.12 '5.12 '6.12 '7.12 자료 : Dataguide Pro, 우리투자증권리서치센터 자료 : Dataguide Pro, 우리투자증권리서치센터 31

32 케이씨텍 주력제품다변화와이로인한국내시장의 M/S 확대가성장견인 반도체용 Wet 장비시장에성공적진입으로국내 Wet 장비시장 M/S 빠르게확대될전망 LCD 용 Wet 장비와 Gas Cabinet 을주력제품으로하던동사는 26년반도체용 Batch Type Wet 장비를하이닉스에초도공급하기시작했고, 27년 Wet 장비매출액이급증하고있다. 반도체 Wet 장비시장은약 3,3 억원규모로전공정장비시장의약 4% 를차지하고있다. 반도체용 Wet 는핵심장비인 Photo, Etch, CVD 장비보다기술적난이도가떨어지는것은사실이지만, 이러한 Wet 장비역시국내에선세메스라는삼성의자회사를제외하고는 SES, TEL, DNS 등일본업체가거의독점하고있는진입장벽이높은시장이다. 동사의 Wet 장비는일본업체대비약 1% 높은생산성을강점으로국내시장에서빠르게 M/S 를확대하고있다. 27년동사는하이닉스 Wet 장비필요량의약 15% 를공급할것으로전망되며 28년에는 3% 까지하이닉스내 M/S 가확대될것으로전망된다. 27년반도체용 Wet 장비매출액은 258억원 (+236.6% y-y) 으로 26년대비대폭적인성장을할것으로예상되며향후동사의성장 Driver 가될것으로전망된다. Ceria CMP Slurry 의매출액기여는 28 년부터시작될전망 동사는 Ceria CMP Slurry 개발에성공하면서 LCD 장비 반도체장비 반도체소재로제품다각화에성공했다. Ceria CMP Slurry 는반도체핵심공정 (STI 공정 ) 에쓰이는반도체공정재료로국산화가거의안된상황이어서국산화가진행된 Fumed Silica CMP Slurry 보다고부가가치제품이다. LG 화학, 테크노세미켐한화석유화학등의기존 CMP Slurry 업체들이제품개발에열을올리고있지만아직뚜렷한성과가없는것으로파악된다. 따라서동사의 Ceria CMP Slurry 가외산소재를대체하며국내시장의 M/S 를확대할것으로전망된다. 27년은제품의안정화단계을거쳐야할것으로판단되어 CMP Slurry 의매출액기여도는미미하다. 하지만 CMP Slurry 매출액은 28년 41억원, 29년 138억원이될것으로전망되어매출및이익에대한기여도가점차증가할것으로판단된다. 반도체용제품매출액변화추이 반도체 vs LCD 제품별비중추이 ( 십억원 ) Semi Gas Cabinet Wet station Material (slurry) E 28F 29F (% ) LCD Semi E 28F 29F 자료 : 케이씨텍, 우리투자증권리서치센터전망 자료 : 케이씨텍, 우리투자증권리서치센터전망 32

33 케이씨텍 지분법이익규모증가추세계속 EPS 성장에계속적으로기여햘전망 동사의기업가치에영향을주고있는또하나부분은지분법평가이익이다. 지분법적용을받는자회사는총 8 개가있다. 8개중두개는판매법인이고단순투자가주목적인컨설팅회사를제외하면 5개의자회사는대부분반도체와 LCD 관련설비나부품관련된회사들이다. 자회사의영업사이클이동사와일치하고있지만매출액과이익은꾸준하게증가추세에있어자회사들의영업이안정적으로이루어지고있는것으로평가된다. TCK 를제외한나머지자회사들이영위하고있는매출아이템은뛰어난기술력을요하는것은아니지만반도체와 LCD 시장성장에따른꾸준한수요가있고마진율도 1% 이하여서추가적인마진율하락은크지않을것으로판단된다. 동사의지분법이익규모는지속적으로증가할것으로전망된다. [ 표 14] 케이씨텍자회사현황 ( 단위 : 억원 ) 자회사 주력제품 매출액 (7E) OP Margin 지분율 장부가 상장여부 KPC Gas Scrubber 31 11% 39% TCK 반도체용흑연부품 % 27% 79.6 O DOE 클린룸및 FAS 64 7% 7% DOT 카네비게이션모듈 16 9% 9% KKT 진공펌프 32 11% 4% 자료 : 케이씨텍, 우리투자증권리서치센터 27 년은마이너스성장, 그러나 28 년은큰폭의실적개선시현할전망 27년 LCD 장비시장의투자급감의영향으로인해 7E 매출액은 1,321 억원 (-11.1% y-y) 으로마이너스성장이전망된다. 하지만 28년장비시장의우호적인영업환경과주력제품다변화에따른매출증대효과로 28년매출액은 1,799 억원으로전년대비 +36.2% y-y 의성장을할것으로예상된다. 설비투자회복시기에는장비단가에대한가격인하압력이다소완화되는경향이있어영업이익률은 27년 1.2% 에서 28년 12.2% 로증가할것으로전망된다. 영업이익률개선효과로 28년영업이익은 227억원 (+63.3% y-y) 이될전망이다. 분기별실적추이및전망 ( 단위 : 십억원 ) 1Q7 2Q7 3Q7E 4Q7F 1Q8F 2Q8F 3Q8F 4Q8F 27E 28F 매출액 LCD SEMI y-y 6.9% -24.8% -9.4% -17.9% -12.3% 42.6% 55.7% 89.5% -11.1% 36.2% q-q 51.6% -24.4%.% -28.4% 62.% 23.% 9.1% -12.8% - - 영업이익 영업이익률 1.5% 13.3% 8.6% 6.9% 12.1% 12.9% 12.3% 11.6% 1.2% 12.2% 자료 : 케이씨텍, 우리투자증권리서치센터전망 33

34 케이씨텍 INCOME STATEMENT VALUATION INDEX ( 십억원 ) 26/12A 27/12E 28/12F 29/12F 26/12A 27/12E 28/12F 29/12F 매출액 EV/ EBITDA (X) 증가율 (%) EV/ EBIT (X) 매출원가 PER (X) 매출총이익 조정PER (X) Gross 마진 (%) PCR (X) 판매비와일반관리비 PBR (X) EBITDA PSR (X) EBITDA 마진 (%) PEG (X) 감가상각비 & 무형자산상각비 PER/ 주당EBIT 증가율 (X) 영업이익 PER/ 주당EBITDA 증가율 (X) OP 마진 (%) Enterprise Value 영업외수익 주당EPS CAGR (3년, FD) (%) 영업외비용 주당EBIT CAGR (3년, FD) (%) 순이자수익 / ( 비용 ) 주당EBITDA CAGR (3년, FD) (%) 경상이익 주당EBIT (FD) (W) RP 마진 (%) 주당EBITDA (FD) (W) 세전순이익 EPS (FD) (W) 법인세비용 조정EPS (FD) (W) 순이익 CFPS (W) Net 마진 (%) BPS (W) 3,345 3,769 4,391 5,118 조정순이익 주당매출액 (W) 5,324 4,733 6,445 6,81 CASH FLOW STATEMENT RIM & EVA ( 십억원 ) 26/12A 27/12E 28/12F 29/12F 26/12A 27/12E 28/12F 29/12F 영업활동현금흐름 RIM 당기순이익 Spread (FROE-COE) (%) 감가상각비 & 무형자산상각비 Residual Income 지분법손실 (- 이익 ) M RIM-based Target Price(W) 1,181 + 외화환산손실 (-이익).2... 경제적부가가치 (EVA) + 고정자산매각손실 (-이익) 투하자본 Gross Cash Flow 세후영업이익 운전자본의증가 (+ 감소 ) 투하자본수익율 (%) 투자활동현금흐름 투하자본수익율 - WACC (%) 유형자산감소.2... EVA 유형자산증가 (CAPEX) Discounted Cash Flow + 투자유가증권매각 (- 취득 ) EBIT Free Cash Flow 감가상각비 Net Cash Flow CAPEX 재무활동현금흐름 Free cash flow for DCF valuation 자기자본증감.... 가중평균자본비용 (WACC) (%) 부채증감 부채비용 (COD) 현금 & 단기금융상품증가 (- 감소 ) 자기자본비용 (COE) 기말순부채 ( 순현금 ) WACC BALANCE SHEET PROFITABILITY & STABILITY ( 십억원 ) 26/12A 27/12E 28/12F 29/12F 26/12A 27/12E 28/12F 29/12F 현금및단기금융상품 자기자본수익율 (ROE) (%) 매출채권 총자산수익율 (ROA) (%) 유동자산 투하자본수익율 (ROIC) (%) 유형자산 EBITDA/ 자기자본 (%) 투자자산 EBITDA/ 총자산 (%) 고정자산 배당수익율 (%) 자산총계 총현금배당금 ( 십억원 ) 단기성부채 보통주주당현금배당금 ( 중간 + 기말 ) 매입채무 순부채 ( 현금 )/ 자기자본 (%) 유동부채 총부채 / 자기자본 (%) 장기성부채 순이자비용 / 매출액 (%) 장기성충당금 EBIT/ 순이자비용 (X) 고정부채 유동비율 (%) 부채총계 당좌비율 (%) 자본금 총발행주식수 (mn) 자본잉여금 액면가 (W) 이익잉여금 주가 (W) 3,76 5,32 5,32 5,32 자본총계 시가총액 ( 십억원 )

Microsoft Word - 9000014297.doc

Microsoft Word - 9000014297.doc Report 기업분석 LG생명과학 (068870.KS) 2006년 9월 6일 Buy (유지) 목표주가 54,000원 (상향) Analyst 권해순 02)768-7977, haesoon.kwon@wooriwm.com 황호성 02)768-7597, hosung.hwang@wooriwm.com 이지현 02)768-7617, salome.lee@wooriwm.com

More information

삼성테크윈 ( KS) Company Analysis Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02) , youn

삼성테크윈 ( KS) Company Analysis Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02) , youn (012450.KS) Company Analysis 2013. 1. 31 Hold ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 13/01/30) Analyst 59,200 원 박영주 (Tech 총괄, 반도체 ) 02)768-7585, young.park@wooriwm.com 2013 년보다는 2014 년실적이기대되는회사 투자의견 Hold 및목표주가

More information

삼성 SDI 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 214 215E 216F 217F 매출액 - 수정 후 5,474 7,824 8,662 9,161 - 수정 전 5,474 7,58 8,347 8,969 - 변동률 3.2 3.7 2.1 영업이익 -

삼성 SDI 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 214 215E 216F 217F 매출액 - 수정 후 5,474 7,824 8,662 9,161 - 수정 전 5,474 7,58 8,347 8,969 - 변동률 3.2 3.7 2.1 영업이익 - (64.KS) Company Comment 215. 11. 2 지존의 모습을 기대한다 지난 시기와 달리 지금부터 BoT (Battery of Things) 시대 본격화 전망. 금번 매각딜 이 제공하는 삼성SDI의 2차전지 시장 지배력 강화 움직임에 주목. 주가 주도력도 재 차 강화될 전망 3분기 Review: 영업실적 흑자전환 성공 3분기 삼성SDI의 연결

More information

와이지 - 원 3 분기실적 Preview (IFRS 개별 ) ( 단위 : 십억원, %) 3Q11 4Q11 1Q12 2Q12 3Q12E 당사추정 y-y q-q Consensus 매출액 조정영업이익 9.0

와이지 - 원 3 분기실적 Preview (IFRS 개별 ) ( 단위 : 십억원, %) 3Q11 4Q11 1Q12 2Q12 3Q12E 당사추정 y-y q-q Consensus 매출액 조정영업이익 9.0 (019210.KQ) Company Analysis 2012. 10. 30 Buy ( 유지 ) 목표주가 17,600 원 ( 하향 ) 현재가 ( 12/10/29) Analyst 12,500 원 손세훈 (Small Cap) 02)768-7971, midas.sohn@wooriwm.com 김진성 (RA) 02)768-7618, justin.kim@wooriwm.com

More information

제약업종 복지부의 당면과제 약값 절약 제네릭 의약품 업체에 대한 시장의 관심 증가 최근 정부정책의 주안점 약제비 절감 최근 국내 제약업종에 대한 화두는 크게 두가지로 요약될 수 있다. 첫째는, 제약업종에 대한 재평가(Re-rating)라는 긍정적인 요소와 둘째는, 건강

제약업종 복지부의 당면과제 약값 절약 제네릭 의약품 업체에 대한 시장의 관심 증가 최근 정부정책의 주안점 약제비 절감 최근 국내 제약업종에 대한 화두는 크게 두가지로 요약될 수 있다. 첫째는, 제약업종에 대한 재평가(Re-rating)라는 긍정적인 요소와 둘째는, 건강 산업분석 2002-096 복제의 미학 베낀것이 더 아름답다 Analyst 황호성 02)768-7597 hswhang@iflg.com 제네릭 의약품 업체에 대한 재인식 필요 의약품 시장 환경이 변화하고 있다 최근 제약업계 환경변화 중 외자 제약사의 국내 점유율 확대, 정부의 고가 약 억제정책 등은 외자 제약사 제품의 도입 및 판매에 주로 의존하고 있는 대부분의

More information

SKC 코오롱 PI ( KQ) Company Comment 방열시트용 PI 필름성장세지속전망 1 분기실적은당사예상치에부합하였으며, 방열시트용 PI 필름성장세재확인. AP 칩 발열논란으로방열시트의중요성이부각되고있는가운데, 기존중국업체위주에

SKC 코오롱 PI ( KQ) Company Comment 방열시트용 PI 필름성장세지속전망 1 분기실적은당사예상치에부합하였으며, 방열시트용 PI 필름성장세재확인. AP 칩 발열논란으로방열시트의중요성이부각되고있는가운데, 기존중국업체위주에 (1789.KQ) Company Comment 1. 4. 28 방열시트용 PI 필름성장세지속전망 1 분기실적은당사예상치에부합하였으며, 방열시트용 PI 필름성장세재확인. AP 칩 발열논란으로방열시트의중요성이부각되고있는가운데, 기존중국업체위주에서국내스마트폰으로까지방열시트용 PI 필름수요확대중. 향후 CAPA ( 생산능력 ) 증설효과역시본격적으로반영되어성장세는가속화될전망

More information

SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2016F 2017F 매출액 - 수정후 17,126 18,850 19,642 20,467 - 수정전 18,926 19,977 21,095 - 변동률

SK 하이닉스실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2016F 2017F 매출액 - 수정후 17,126 18,850 19,642 20,467 - 수정전 18,926 19,977 21,095 - 변동률 (000660.KS) Company Comment 2015. 6. 11 마이크론 LPDDR4 품질이슈수혜전망 SK 하이닉스는 PC 수요약세에도불구 2 분기 1.4 조원의양호한영업이익시현전망. 15 년하반기에는마이크론의 20nm 급 LPDDR4 품질문제로애플아이폰 6S 에 SK 하이닉스의모바일 DRAM 이주력으로공급될전망이어서하반기실적확대예상됨 '15 년 2

More information

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63>

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 06. 11 반도체 Overweight 공정변화 4: CMP(Chemical Mechanical Polishing) Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy,

More information

펄어비스 ( KQ) 이제성장의초입국면 Company Report 검은사막모바일로성공적인한해를보냈던펄어비스는 2019 년검은사막 모바일의일본, 북미, 유럽출시 cycle 을마무리하려함. 이에더해하반 기중신작 3 종출시가예정되어있어 2019

펄어비스 ( KQ) 이제성장의초입국면 Company Report 검은사막모바일로성공적인한해를보냈던펄어비스는 2019 년검은사막 모바일의일본, 북미, 유럽출시 cycle 을마무리하려함. 이에더해하반 기중신작 3 종출시가예정되어있어 2019 (263750.KQ) 이제성장의초입국면 Company Report 2018. 11. 5 검은사막모바일로성공적인한해를보냈던는 2019 년검은사막 모바일의일본, 북미, 유럽출시 cycle 을마무리하려함. 이에더해하반 기중신작 3 종출시가예정되어있어 2019 년에도동사는 2018 년못지 않게성장할것 검은사막은끝나지않았고, 신작은또나온다 PC 검은사막의성공이후, 2018

More information

Company Comment SK 하이닉스 ( KS) Buy ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 14/08/06) 업종 Price Trend SK 하이닉스 KOSPI 45,400

Company Comment SK 하이닉스 ( KS) Buy ( 유지 ) 목표주가 63,000 원 ( 유지 ) 현재가 ( 14/08/06) 업종 Price Trend SK 하이닉스 KOSPI 45,400 214. 8. 7 Company Comment (66.KS) Buy ( 유지 ) 목표주가 63, 원 ( 유지 ) 현재가 ( 14/8/6) 업종 Price Trend 19 17 15 13 11 KOSPI 45,4 원 반도체 KOSPI 2,6.73 KOSDAQ 548.43 시가총액 ( 보통주 ) 32,792.6십억원 발행주식수 ( 보통주 ) 718.9백만주 52주최고가

More information

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4 (019680) 2007 321 COMPANY INITIATION, CFA 02) 3772-1557 02) 3772-1568 emilypark@goodi.com Kitten78@goodi.com KOSPI : 1444.17p KOSDAQ : 645.37p : 756.4 : 5,000 : 8.5 : 3.4 (39.8%) 52 / : 95,400 /65,600

More information

Microsoft Word - Kumho_Petrochemical_Comment_110524.doc

Microsoft Word - Kumho_Petrochemical_Comment_110524.doc LIG Research Center Company Analysis 211/5/24 Analyst 김영진/주혜미ㆍ2)6923-7318/7345ㆍyjkim/hmjoo@ligstock.com 금호석유화학 (1178KS Buy 유지 TP 272,원 상향) 합성고무 및 BPA 증설을 통한 성장 및 수익 전략 -, 수익예상 상향에 따라 272,원으로 상향 조정하며, 투자의견

More information

바이오 부문 실적 개선 지연, 소재식품 역기저 효과가 부담 1분기 실적 컨센서스 하회 전망 CJ제일제당의 1분기 연결 매출액과 영업이익은 각각 3조4,636억원(+11.0%, y-y) 과 2,127억원(-5.6%, y-y)으로 컨센서스를 소폭 하회할 전망이다. CJ대한

바이오 부문 실적 개선 지연, 소재식품 역기저 효과가 부담 1분기 실적 컨센서스 하회 전망 CJ제일제당의 1분기 연결 매출액과 영업이익은 각각 3조4,636억원(+11.0%, y-y) 과 2,127억원(-5.6%, y-y)으로 컨센서스를 소폭 하회할 전망이다. CJ대한 (097950.KS) 단기 모멘텀보다 개선 잠재력에 주목 Company Note 2016. 3. 24 바이오제품 가격 상승 지연, 환율 상승에 따른 일부 사업부 역기저 효과 등으로 1분기 실적은 컨센서스 하회 예상. 하지만 가공식품 고성장세 지 속될 전망이고, CJ헬스케어 상장 모멘텀 대기 중이어서 Buy 관점 유효 1분기 실적 컨센서스 소폭 하회할 듯 CJ제일제당의

More information

LG 디스플레이 4 분기실적 Preview (IFRS 연결 ) ( 단위 : 십억원, %) 4Q11 1Q12 2Q12 3Q12 4Q12E 당사추정치 직전추정치 y-y q-q Consensus 매출액 6,61 6,184 6,91 7,593 8,478 8,

LG 디스플레이 4 분기실적 Preview (IFRS 연결 ) ( 단위 : 십억원, %) 4Q11 1Q12 2Q12 3Q12 4Q12E 당사추정치 직전추정치 y-y q-q Consensus 매출액 6,61 6,184 6,91 7,593 8,478 8, (3422.KS) Company Analysis 212. 12. 18 Price Trend 2 15 1 5 Buy ( 유지 ) 목표주가 43, 원 ( 유지 ) 현재가 ( 12/12/17) Analyst 박영주 ( 반도체 /Display 총괄 ) 2)768-7585, young.park@wooriwm.com 업종 LG 디스플레이 KOSPI '11.12 '12.2

More information

아모레 G ( KS) Company Comment 아모레퍼시픽과이니스프리가견인하는고성장지속 1 분기영업이익 3,207 억원 (+49.9%, y-y) 으로컨센서스와당사예상치를 10% 이상상 회. 아모레퍼시픽과이니스프리의호실적이주효. 아모레

아모레 G ( KS) Company Comment 아모레퍼시픽과이니스프리가견인하는고성장지속 1 분기영업이익 3,207 억원 (+49.9%, y-y) 으로컨센서스와당사예상치를 10% 이상상 회. 아모레퍼시픽과이니스프리의호실적이주효. 아모레 (279.KS) Company Comment 215. 5. 15 아모레퍼시픽과이니스프리가견인하는고성장지속 1 분기영업이익 3,27 억원 (+49.9%, y-y) 으로컨센서스와당사예상치를 1% 이상상 회. 아모레퍼시픽과이니스프리의호실적이주효. 아모레 P 에비해밸류에이션낮고, 자회사펀더멘털강화국면에서 high beta play 인 선호. Buy 유지 1 분기실적예상상회

More information

현대중공업 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 213 214P 215E 216F 매출액 - 수정 후 54,188 52,582 54,347 55,336 - 수정 전 52,841 54,988 55,992 - 변동률 -.5-1.2-1.2 영업이익

현대중공업 실적 전망 (IFRS 연결) (단위: 십억원, 원, 배, %) 213 214P 215E 216F 매출액 - 수정 후 54,188 52,582 54,347 55,336 - 수정 전 52,841 54,988 55,992 - 변동률 -.5-1.2-1.2 영업이익 (954.KS) Company Comment 215. 2. 13 4Q Review: 실적은 OK, 수주부진은 지속 4분기 영업이익은 3분기 대규모 적자에서 벗어나 BEP(손익분기점) 수준으로 안정화. 이익이 저점을 통과중이고 상선부문에서 수주증가가 기대되나 경쟁심화로 선가상승 이 어렵고, 여전히 해양부문 수주 불투명하여 목표주가 13만원, 투자의견 Hold

More information

NH투자증권 f

NH투자증권 f (3657.KS) 의모바일, 그두번째이야기 Company Report 219. 5. 21 219 년최대기대작리니지 2M 과블레이드 & 소울 S 가드디어출시될것. 리니지 M 이여전히견조한매출을유지하고있는가운데, 신작출시이후 큰폭의매출성장기대 리니지 2M 과블레이드 & 소울 S 로두번째모바일게임 Stage 진입 에대한게임업종 Top-pick 추천. 투자의견 Buy를유지하며,

More information

1분기 실적 컨센서스 충족 추정 영업이익 성장률 17% 기대 아모레그룹의 1분기 연결 매출액과 영업이익은 각각 1조7,128억원(+18.6%, y-y) 과 3,753억원(+17.0%, y-y)으로 시장 컨센서스를 충족시킬 것으로 추정된다. 이익 기여도가 86%에 달하는

1분기 실적 컨센서스 충족 추정 영업이익 성장률 17% 기대 아모레그룹의 1분기 연결 매출액과 영업이익은 각각 1조7,128억원(+18.6%, y-y) 과 3,753억원(+17.0%, y-y)으로 시장 컨센서스를 충족시킬 것으로 추정된다. 이익 기여도가 86%에 달하는 (002790.KS) 반가운 에뛰드 턴어라운드 Company Note 2016. 4. 5 아모레퍼시픽, 이니스프리, 에뛰드 등 핵심 자회사 실적 견조. 특히 최근 까지 매출 역신장과 영업 적자로 부진했던 에뛰드 브랜드가 히트 제품 출시로 턴어라운드에 성공한 점 긍정적. Buy 투자의견 유지 에뛰드, 2년만의 턴어라운드 아모레G의 1분기 연결 매출액과 영업이익은

More information

Microsoft Word - IBSPPR doc

Microsoft Word - IBSPPR doc 기업분석 29 년 5 월 28 일 (3572.KQ) 오리날다 Buy ( 상향 ) 목표주가 48, 원 ( 상향 ) Analyst 이왕상 2)768-7598, wayne.lee@wooriwm.com 정재우 2)768-7647, joseph.chung@wooriwm.com 투자의견 Buy 상향, 목표주가 48, 원으로상향당사는트래픽을수익으로연결시키는동사의능력에대한검증이필요하다고판단해그동안동사에대해보수적인투자의견을견지했었다.

More information

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환) KRP Report (3회차) GOLDEN BRIDGE Research - 스몰켑 - Not Rated 테스 (095610) 공정미세화 추세의 수혜, 태양광 장비의 매출 가시화로 견조한 성장 작성일: 2009.11.18 발간일: 2009.11.19 3Q 실적 동사의 3분에 매출과 영업이익은 각각 141.5 억원(QoQ 142%), 6 억원(흑전)이다. 목표가

More information

LG 디스플레이 4 분기실적 Preview ( 단위 : 십억원, %) 4Q15 1Q16 2Q16 3Q16 4Q16E 예상치 y-y q-q 기존추정 Consen 1Q17F 매출액 7,496 5,989 5,855 6,724 7, ,293 7,405

LG 디스플레이 4 분기실적 Preview ( 단위 : 십억원, %) 4Q15 1Q16 2Q16 3Q16 4Q16E 예상치 y-y q-q 기존추정 Consen 1Q17F 매출액 7,496 5,989 5,855 6,724 7, ,293 7,405 (034220.KS) 실적과거꾸로가는주가는없다 Company Note 2016. 12. 9 4 분기강력한실적모멘텀이부각될전망. 주배경은우호적인패널가격 흐름과환율효과가대형호재로작용할것으로예상하기때문. 내년 1 분 기도동사를둘러싼대내외적환경이낙관적일것으로예상하는바매수 의견지속제시 4 분기영업이익컨센서스상회할전망 4 분기실적은매출액 7.5 조원 (+11.7% q-q,

More information

에스엘 ( KS) Comment Price Trend Hold ( 하향 ) 목표주가 17,000 원 ( 하향 ) 현재가 ( 13/02/25) Analyst 오승준 02) ,

에스엘 ( KS) Comment Price Trend Hold ( 하향 ) 목표주가 17,000 원 ( 하향 ) 현재가 ( 13/02/25) Analyst 오승준 02) , (8.KS) Comment 213. 2. 26 Price Trend 16 13 1 7 4 Hold ( 하향 ) 목표주가 17, 원 ( 하향 ) 현재가 ( 13/2/2) Analyst 오승준 2)768-764, june.oh@wooriwm.com KOSPI '12.2 '12.4 '12.6 '12.8 '12.1 '12.12 1,2 원 조수홍 2)768-764,

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

아이마켓코리아실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 215F 216F 매출액 - 수정후 2, ,. 3,35.1 3, 수정전 3,29.8 3,38.1 3, 변동률 영업이익

아이마켓코리아실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 215F 216F 매출액 - 수정후 2, ,. 3,35.1 3, 수정전 3,29.8 3,38.1 3, 변동률 영업이익 214. 8. 4 Company Comment 아이마켓코리아 (1229.KS) Buy ( 유지 ) 목표주가 42, 원 ( 유지 ) 현재가 ( 14/8/1) 업종 Price Trend 15 13 11 아이마켓코리아 KOSPI 32,35 원 9 '13.8 '13.1'13.12 '14.2 '14.4 '14.6 서비스 KOSPI 2,73.1 KOSDAQ 541.9

More information

Microsoft Word

Microsoft Word 삼성전자 (005930) KB RESEARCH 2017년 4월 7일 1분기 잠정실적: 3년 만의 최대 실적 1분기 영업이익 9.9조원, 컨센서스 상회 2분기 추정 영업이익 12.5조원, 전년대비 54% 증가 IT Analyst 김동원 실적 업사이드 충분, 목표주가 270만원 유지 02-6114-2913 jeff.kim@kbfg.com RA 류진영 02-6114-2964

More information

가치합산방식으로산출된아모레 G 의목표주가 21 만 8,000 원 ( 단위 : %, 십억원, 원 ) 지분율적정가치주당가치밸류에이션방법 아모레퍼시픽 35 9, ,510 목표주가 43.3 만원적용 에뛰드 년 PER 15 배 이니스프

가치합산방식으로산출된아모레 G 의목표주가 21 만 8,000 원 ( 단위 : %, 십억원, 원 ) 지분율적정가치주당가치밸류에이션방법 아모레퍼시픽 35 9, ,510 목표주가 43.3 만원적용 에뛰드 년 PER 15 배 이니스프 (002790.KS) Company Comment 2015. 8. 17 이니스프리지분가치상승을선호 2 분기실적은컨센서스수준. 예상수준의실적낸아모레 P 이외에, 특이점은면세 채널타격으로인한이니스프리성장률소폭둔화, 에뛰드적자전환, 비화장품계열사흑자전환등. 아모레 P 의가치상승잠재력을함께공유하면서, 이니스프리의해외확장에따른지분가치증가까지향유하는아모레 G 를선호.

More information

LG 전자 ( KS) 다시찾아가는자신감 Company Note 분기영업적자기록 년에는오랜기간악재로작용하던 MC 실적 우려완화될것으로전망하는바주가부담요인해소예상. 주가정상화 전망 4 분기영업적자 352 억원기록 4 분기연결실

LG 전자 ( KS) 다시찾아가는자신감 Company Note 분기영업적자기록 년에는오랜기간악재로작용하던 MC 실적 우려완화될것으로전망하는바주가부담요인해소예상. 주가정상화 전망 4 분기영업적자 352 억원기록 4 분기연결실 (066570.KS) 다시찾아가는자신감 Company Note 2017. 1. 26 4 분기영업적자기록. 2017 년에는오랜기간악재로작용하던 MC 실적 우려완화될것으로전망하는바주가부담요인해소예상. 주가정상화 전망 4 분기영업적자 352 억원기록 4 분기연결실적은매출액 14.8 조원 (+1% y-y, +12% q-q), 영업적자 352 억원 ( 적전 y-y/q-q)

More information

GS 리테일 ( KS) 실적모멘텀둔화 Company Comment 분기매출 16,565 억원 (32% y-y), 영업이익 388 억원 (16% y-y) 으로컨 센서스영업이익 525 억원을크게하회하는실적발표 년에는파르 나스호텔

GS 리테일 ( KS) 실적모멘텀둔화 Company Comment 분기매출 16,565 억원 (32% y-y), 영업이익 388 억원 (16% y-y) 으로컨 센서스영업이익 525 억원을크게하회하는실적발표 년에는파르 나스호텔 (007070.KS) 실적모멘텀둔화 Company Comment 2016. 2. 4 4 분기매출 16,565 억원 (32% y-y), 영업이익 388 억원 (16% y-y) 으로컨 센서스영업이익 525 억원을크게하회하는실적발표. 2016 년에는파르 나스호텔이정상가동되는하반기가매력적일것으로판단 4 분기기대치를하회하는실적발표 연결기준매출 16,565 억원 (32%

More information

주성엔지니어링 주성엔지니어링 실적 추이 및 전망 (단위: 십억원, %) 매출액 2Q14 4Q14 2Q1 4Q1E E 216E YoY % 9. (23.4) 2.

주성엔지니어링 주성엔지니어링 실적 추이 및 전망 (단위: 십억원, %) 매출액 2Q14 4Q14 2Q1 4Q1E E 216E YoY % 9. (23.4) 2. 주성엔지니어링 반도체 장비 호조로 양호한 3Q1 실적 21.11.12 투자의견 BUY (유지) 목표주가 8,원 (유지) 26.2 현재가 (11/11, 원) 6,34 Consensus target price (원) 7, Difference from consensus Forecast earnings & valuation 21E 216E 217E 142 176 21

More information

네오위즈 ( KQ) 2018 년 turnaround 기대 Company Report 모바일웹보드의성장세가꾸준한가운데, 개발자회사겜프스가개발한브 라운더스트가국내뿐만아니라일본에서도양호한성과기록중. 2 분기중 포트나이트 PC 방서비스까지시작하면

네오위즈 ( KQ) 2018 년 turnaround 기대 Company Report 모바일웹보드의성장세가꾸준한가운데, 개발자회사겜프스가개발한브 라운더스트가국내뿐만아니라일본에서도양호한성과기록중. 2 분기중 포트나이트 PC 방서비스까지시작하면 (956.KQ) 218 년 turnaround 기대 Company Report 218. 4. 5 모바일웹보드의성장세가꾸준한가운데, 개발자회사겜프스가개발한브 라운더스트가국내뿐만아니라일본에서도양호한성과기록중. 2 분기중 포트나이트 PC 방서비스까지시작하면실적 turnaround 본격화될전망 실적개선속도당초예상보다조금씩빨라질것 218 년매출액 2,28 억원 (+31.%

More information

투자의견 Buy, 목표주가 16, 원으로커버리지개시 목표주가는 216 년 PER 1 배에해당, 상승여력 3% 크루셜텍에대해투자의견 Buy, 목표주가 16,원을제시하며커버리지를개시한다. 목표주가는 216년 Target PER 1배수준으로현주가대비 3% 의상승여력을보유하고

투자의견 Buy, 목표주가 16, 원으로커버리지개시 목표주가는 216 년 PER 1 배에해당, 상승여력 3% 크루셜텍에대해투자의견 Buy, 목표주가 16,원을제시하며커버리지를개시한다. 목표주가는 216년 Target PER 1배수준으로현주가대비 3% 의상승여력을보유하고 (11412.KQ) 지문인식으로중국정복 Company Report 216. 2. 17 크루셜텍은지문인식모듈을주로생산하는업체. 동사는중화권스마트 폰업체들을주요고객사로보유하고있음. 중국을포함한중저가스마트 폰시장의지문인식침투율이상승할전망임에따라실적성장이기대됨 지문인식침투율상승으로 4 분기도성장 크루셜텍의 4 분기실적은매출액 1,17 억원 (+6.9% q-q, +1.2%

More information

웹젠 ( KQ) 중국게임규제로인한우려 Company Report 년초중국 뮤 : 기적각성 과한국 뮤오리진 2 의성공으로양호한성 장을보였으나, 이후기적각성의매출순위하락에따라실적역성장불 가피. 최근중국정부규제로인하여중국게임시장내신작

웹젠 ( KQ) 중국게임규제로인한우려 Company Report 년초중국 뮤 : 기적각성 과한국 뮤오리진 2 의성공으로양호한성 장을보였으나, 이후기적각성의매출순위하락에따라실적역성장불 가피. 최근중국정부규제로인하여중국게임시장내신작 (069080.KQ) 중국게임규제로인한우려 Company Report 2018. 11. 5 2018 년초중국 뮤 : 기적각성 과한국 뮤오리진 2 의성공으로양호한성 장을보였으나, 이후기적각성의매출순위하락에따라실적역성장불 가피. 최근중국정부규제로인하여중국게임시장내신작출시가힘들 어진가운데, 중국의존도가높은동사의경우신규라인업지연우려 뮤 IP 는여전히매력적이지만, 규제로인하여신작출시지연

More information

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc 212-4-18 In-Depth Report, 12-5 고영 (9846) 성장성에대한기대감재부각시점 매수 ( 신규편입 ) T.P 35, 원 ( 신규편입 ) Analyst 강문성 / 스몰캡 mskang74@sk.com +82-3773-9269 Company Data 자본금 43 억원 발행주식수 866 만주 자사주 1 만주 액면가 5 원 시가총액 2,289 억원

More information

Microsoft Word - 20160525172217103.doc

Microsoft Word - 20160525172217103.doc 216년 5월 26일 산업분석 디스플레이 Overweight (유지) 실적 턴어라운드 기대 LCD 구조조정, 하반기 수급 및 가격에 긍정적 디스플레이,가전,휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 하반기 글로벌 디스플레이 산업은 한국 패널업체의 LCD

More information

국문 Market Tracker

국문 Market Tracker Research Center HANYANG SECURITIES LG 상사(001120) - 한 템포 쉬어가자! 2009.4.21 Analyst : 김승원 3770-5325 Rating Hold(유지) Target Price 19,400원 Previous 19,400 원 60 50 40 30 20 10 0-10 -20-30 주가지표 KOSPI(04/20): KOSDAQ(04/20):

More information

코웨이 ( KS) 견조한성장세지속 Company Comment 프리미엄비중증가, 신제품군일시불판매호조, 해외 ODM 매출성장 세전환등에따라견조한매출성장보임. 효율적비용제어, 핵심지표 관리또한지속. 현주가 PER 18 배수준으로, 실적안정성

코웨이 ( KS) 견조한성장세지속 Company Comment 프리미엄비중증가, 신제품군일시불판매호조, 해외 ODM 매출성장 세전환등에따라견조한매출성장보임. 효율적비용제어, 핵심지표 관리또한지속. 현주가 PER 18 배수준으로, 실적안정성 (021240.KS) 견조한성장세지속 Company Comment 2016. 5. 4 프리미엄비중증가, 신제품군일시불판매호조, 해외 ODM 매출성장 세전환등에따라견조한매출성장보임. 효율적비용제어, 핵심지표 관리또한지속. 현주가 PER 18 배수준으로, 실적안정성고려시매력적 1 분기실적예상치상회 의 1 분기별도매출액과영업이익은각각 5,776 억원 (+14.1%,

More information

엔씨소프트 ( KS) Company Analysis Buy ( 유지 ) 목표주가 290,000 원 ( 하향 ) 현재가 ( 12/11/07) Analyst 213,000 원 정재우 02) ,

엔씨소프트 ( KS) Company Analysis Buy ( 유지 ) 목표주가 290,000 원 ( 하향 ) 현재가 ( 12/11/07) Analyst 213,000 원 정재우 02) , (036570.KS) Company Analysis 2012. 11. 8 Buy ( 유지 ) 목표주가 290,000 원 ( 하향 ) 현재가 ( 12/11/07) Analyst 213,000 원 정재우 02)768-7647, joseph.chung@wooriwm.com 박혜수 02)768-7721, regina.park@wooriwm.com 4 분기실적과불확실성해소가

More information

Company report focus 리포트 작성 목적 합병법인에 대한 투자의견과 목표주가 제시 Cash cow 제품, 턴어라운드 제품, 미래 성장스토리 제품, 시너지효 과 등을 분석 대표적 소재/에너지 기업으로 도약함에 따라 글로벌 경쟁업체들과의 valuation 비

Company report focus 리포트 작성 목적 합병법인에 대한 투자의견과 목표주가 제시 Cash cow 제품, 턴어라운드 제품, 미래 성장스토리 제품, 시너지효 과 등을 분석 대표적 소재/에너지 기업으로 도약함에 따라 글로벌 경쟁업체들과의 valuation 비 기업분석 In-depth / 전자부품 2014. 7. 15 매수(유지) 목표주가: 200,000원(유지) Stock Data KOSPI(7/14) 1,994 주가(7/14) 172,000 시가총액(십억원) 11,828 발행주식수(백만) 69 52주 최고/최저가(원) 198,500/139,000 일평균거래대금(6개월, 백만원) 46,737 유동주식비율/외국인지분율(%)

More information

SECTOR REPORT

SECTOR REPORT 28 11 12 SECTOR REPORT 2) 3772-1554 kdbae@goodi.com 2) 3772-1528 wooli.ko@goodi.com (Bloomberg), (First Call), I/B/E/S, ISI, Multex (FnGuide) 3. (%) (1) 215, 2,5 7.2 (64) 15, 94, 11.7 (628) 95, 91,5 3.8

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

정유, 2 분기유가상승, 견조한정제마진효과를보다 정유 2개사 2분기추정영업이익 1.2조원 ( 흑전 y-y) 으로컨센서스 36% 상회추정 당사가커버하는정유업체 SK이노베이션, S-Oil의 215년 2분기영업실적은매출액 17.5조원 (-27% y-y, +7% q-q), 영

정유, 2 분기유가상승, 견조한정제마진효과를보다 정유 2개사 2분기추정영업이익 1.2조원 ( 흑전 y-y) 으로컨센서스 36% 상회추정 당사가커버하는정유업체 SK이노베이션, S-Oil의 215년 2분기영업실적은매출액 17.5조원 (-27% y-y, +7% q-q), 영 Industry Report 215. 7. 7 화려했던상반기, 경계해야할하반기 유가상승, 마진개선등으로 2 분기정유 / 화학업체영업이익큰폭개선추정. 하지만하반기영업이익은공급량증가, 높은가격에대한저항등으로상반기대비감소예상. 하반기보수적인접근속에이익차별화, 저평가기업에대한선별적투자제시 정유, 상고하저시황속에저평가기업에투자 커버리지정유사의 215 년 2 분기영업실적은국제유가상승등으로매출액

More information

Valuation (DCF Multiple ) VIII Case Study 3 1 NOA, IBD ( 1 ) 2 ( 2 ) 3 (DCF 3 ) 4 WACC (DCF 4 ) 5 EBITDA (Multiple 3 ) 6 Multiple (Multiple 4 ) 7 ( 5

Valuation (DCF Multiple ) VIII Case Study 3 1 NOA, IBD ( 1 ) 2 ( 2 ) 3 (DCF 3 ) 4 WACC (DCF 4 ) 5 EBITDA (Multiple 3 ) 6 Multiple (Multiple 4 ) 7 ( 5 Valuation (DCF Multiple ) Valuation (DCF Multiple ) I Valuation 1 Valuation 2 valuation II VBM Valuation 1 VBM 2 M&A III 1 2 IV 1 NOA, IBD ( 1 ) 2 ( 2 ) 3 (DCF 3 ) 4 WACC (DCF 4 ) 5 EBITDA (Multiple 3

More information

RIM valuation 에의한목표주가 24,5 원 투자의견 Buy 유지, 목표주가는 24,5 원으로상향조정 이엘케이에대해투자의견 Buy를유지하고, 목표주가를 22, 원에서 24,5 원으로 11% 상향조정한다. 목표주가를상향조정한것은삼성전자가 213년태블릿 PC 사업을

RIM valuation 에의한목표주가 24,5 원 투자의견 Buy 유지, 목표주가는 24,5 원으로상향조정 이엘케이에대해투자의견 Buy를유지하고, 목표주가를 22, 원에서 24,5 원으로 11% 상향조정한다. 목표주가를상향조정한것은삼성전자가 213년태블릿 PC 사업을 (9419.KQ) Company Analysis 212. 11. 15 Buy ( 유지 ) 목표주가 24,5 원 ( 상향 ) 현재가 ( 12/11/14) Analyst 2,7 원 김혜용 2)768-763, sophia.kim@wooriwm.com 김수형 (RA) 2)768-734, soohyung.kim@wooriwm.com 중대형터치패널의성장세는거스를수없는흐름

More information

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345 217 년 6 월 2 일 I Equity Research SK 하이닉스 (66) 강한실적과양호한업황 2Q17 Preview: 영업이익 2.94조원으로컨센서스상회 SK하이닉스의 217년 2분기매출액은 6.96조원 (YoY +77%, QoQ +11%), 영업이익은 2.94조원 (YoY +549%, QoQ +19%) 으로컨센서스를상회할것으로전망한다. DRAM은모바일비수기를지나신제품출시등으로인해출하량증가,

More information

투자의견 Buy 유지. 목표주가 600,000 원으로상향 엔씨소프트에대해투자의견 Buy를유지하고, 목표주가를기존 500,000원에서 600,000원으로상향한다. 2019년이후실적추정치를상향하였고신규게임출시가임박한구간에서는실적및 Valuation이상승할수있음을감안하였다.

투자의견 Buy 유지. 목표주가 600,000 원으로상향 엔씨소프트에대해투자의견 Buy를유지하고, 목표주가를기존 500,000원에서 600,000원으로상향한다. 2019년이후실적추정치를상향하였고신규게임출시가임박한구간에서는실적및 Valuation이상승할수있음을감안하였다. (036570.KS) 두번째성공이임박했다 Company Report 2018. 11. 5 엔씨소프트의새로운 IP( 지적재산권 ) 창출이머지않았음. 2~ 4 번째모바 일게임과 6 번째 PC 게임이순차적으로출시될예정이며신규게임출시 에따라큰폭의실적성장기대됨. 제시 다시한번도래한 Big Cycle 2019 년게임업종 Top-pick 으로 엔씨소프트는 2019 년중리니지

More information

LG 디스플레이 ( KS) 최선이되기에충분하다 Company Comment 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upsid

LG 디스플레이 ( KS) 최선이되기에충분하다 Company Comment 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upsid (034220.KS) 최선이되기에충분하다 Company Comment 2016. 7. 28 2 분기에이어하반기실적도개선추세전망. 27 일 E6 신규시설투자발 표로중소형 OLED 부문에대한의심과우려해소. OLED 부문의시장 지배력강화움직임은실적 Upside Potential 을강화하는요인으로판단 2 분기영업이익시장기대치상회 2 분기실적은매출액 5.9 조원 (-2%

More information

Microsoft Word - 111130_삼성SDI

Microsoft Word - 111130_삼성SDI 삼성SDI 삼성SDI (64) BUY (Maintain) 주가(11/29) 131,5원 목표주가 19,원 211.11.3 울트라북, 2차전지 시장지형을 바꾼다 지금까지의 통념을 깨고 성능이 뛰어나면서도 싸고 가벼운 노트북이 등장했다. 울트라북이다. 울트라북은 모바일 인터넷 시대에 대응한 노트북의 진화이며, 215년에 전체 노트북의 45%를 차지하며 급성 장할

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10 실적 Review 2017. 4. 27 Outperform(Maintain) 목표주가 : 34,000원주가 (4/26): 31,400원시가총액 : 112,354억원 LG 디스플레이 (034220) 중소형 OLED 경쟁력확대가능성에주목 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com 2Q17 영업이익 9,310

More information

Microsoft Word - 2016011217350042.docx

Microsoft Word - 2016011217350042.docx (000120) 운송 Company Report 2016.1.12 (Maintain) 매수 목표주가(원,12M) 260,000 현재주가(16/01/12,원) 200,000 상승여력 30% 영업이익(,십억원) 199 Consensus 영업이익(,십억원) 209 EPS 성장률(,%) -16.0 MKT EPS 성장률(,%) 21.4 P/E(,x) 90.6 MKT

More information

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템,

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템, In-Depth AP 시스템 (054620) 가치를더해가는 OLED 장비업체 BUY 현재 직전 변동 투자의견 BUY 신규 목표주가 31,000원 신규 Earnings Stock Information 현재가 (9/5) 예상주가상승률시가총액비중 (KOSPI내) 발행주식수 52주최저가 / 최고가 3개월일평균거래대금외국인지분율 20,850원 48.7% 5,340억원

More information

Microsoft Word _Type2_산업_화학.doc

Microsoft Word _Type2_산업_화학.doc 2011 년 10 월 6 일산업분석 3Q 실적 Preview: 전반적인실적감소 화학 Overweight ( 유지 ) 석유화학, 정유 Analyst 백영찬 02-2003-2968 yc.baek@hdsrc.com 석유화학 : 3분기실적은직전분기대비감소 RA 김동건 02-2003-2907 dongkun.kim@hdsrc.com 리서치센터트위터 @QnA_Research

More information

아이콘트롤스 4분기가 기다려진다 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (유지) 3Q16 매출액 -9.4% YoY, 영업이익 +15.2% YoY 현대산업 입주물량 증가에 따라 스마트홈 고마진세 지속 IBS 수주 확대에 따라

아이콘트롤스 4분기가 기다려진다 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (유지) 3Q16 매출액 -9.4% YoY, 영업이익 +15.2% YoY 현대산업 입주물량 증가에 따라 스마트홈 고마진세 지속 IBS 수주 확대에 따라 4분기가 기다려진다 2016.10.28 투자의견 BUY (유지) 목표주가 42,000원 (유지) 3Q16 매출액 -9.4% YoY, 영업이익 +15.2% YoY 현대산업 입주물량 증가에 따라 스마트홈 고마진세 지속 IBS 수주 확대에 따라 4분기 매출액 성장률 37.5% QoQ 기대 시장 컨센서스 대비 매출액은 15.2% 하회, 영업이익 7.9% 상회 의 연결기준

More information

기업분석(Update)

기업분석(Update) 1.. Quantitative Analyst 이창환 91-73 ch.lee@ibks.com Sector Monitor: 업종별수익률 : Cyclical 업종이 Defensive 업종대비아웃퍼폼 이익모멘텀 : 1개월전대비 EPS 전망치변화율은업종전반적으로 (+) 를기록. ERR 역시전반적으로 (+) 를기록한가운데 와, 업종이두드러짐. 개월선행 EPS 전망치는,,

More information

Microsoft Word - 110929 미디어업종.doc

Microsoft Word - 110929 미디어업종.doc INDUSTRY REPORT 미디어 종편이 뭐길래 종편 영업개시 임박 보도에 따르면 10월 초부터 jtbc, CSTV, 채널 A 등 종합편성채널사용사업자(이하 종편)의 프로그램 설명회가 연이어 개최될 예정. 이는 사실상 종편의 영업개시 라는 점에서 관련주에 대 한 시장의 관심이 더욱 높아질 전망. 한편 SBS, MBC 등 지상파도 미디어렙 설립이 임박. 랠리는

More information

Microsoft Word - 교보-10월탑픽_20131001.doc

Microsoft Word - 교보-10월탑픽_20131001.doc 1 월 Oct 1, 213 Mid-SmallCap 연구위원 김영준 3771-969 yjkim63@iprovest.com 연구위원 김갑호 3771-9734 kh122@iprovest.com 연구위원 최성환 3771-9355 gnus87@iprovest.com 책임연구원 심상규 3771-9751 aimhhigh@iprovest.com 책임연구원 정유석 3771-9351

More information

2007

2007 Eugene Research 기업분석 2016. 03. 23 SK 머티리얼즈 (036490.KQ) 1Q16 실적순항중 이제부터는플렉서블 OLED 및 3D NAND 투자확대와사업경쟁력강화에주목!! 반도체 / 디스플레이담당이정 Tel. 02)368-6124 / jeonglee@eugenefn.com Junior Analyst 노경탁 Tel. 02)368-6647

More information

아모레 G 3 분기실적 Preview ( 단위 : 십억원, %) 3Q15 4Q15 1Q16 2Q16 3Q16E 수정후 y-y q-q 수정전컨센서스 4Q16F 매출액 1,347 1,458 1,759 1,720 1, ,678 1,735 1,837 영

아모레 G 3 분기실적 Preview ( 단위 : 십억원, %) 3Q15 4Q15 1Q16 2Q16 3Q16E 수정후 y-y q-q 수정전컨센서스 4Q16F 매출액 1,347 1,458 1,759 1,720 1, ,678 1,735 1,837 영 (002790.KS) 글로벌화장품트렌드에최적화된비즈니스 Company Comment 2016. 10. 20 조만간非아모레퍼시픽지분가치가아모레퍼시픽지분가치를넘어서게 될것. 이니스프리, 에뛰드등 fast cosmetic 영역에강한동사의비즈니 스포트폴리오가부각될수있는산업환경이전개되고있음. 상대적으로 높은지배주주순이익성장률에주목. Buy 유지 3 분기실적기대치충족추정

More information

<C8ADC0E5C7B020C0AFC5EB20C7F6B4EBC8AD28C6F2B0A1B4DC292E687770>

<C8ADC0E5C7B020C0AFC5EB20C7F6B4EBC8AD28C6F2B0A1B4DC292E687770> 총자산증가율 당기말총자산 전기말총자산 유형자산증가율 당기말유형자산 전기말유형자산 유동자산증가율 당기말유동자산 전기말유동자산 재고자산증가율 당기말재고자산 전기말재고자산 자기자본증가율 당기말자기자본 전기말자기자본 매출액증가율 당기매출액 전기매출액 총자산경상이익률 경상이익 총자산 총자산경상이익률 매출액경상이익률 총자산회전율 경상이익 총자산 경상이익 매출액 매출액

More information

Microsoft Word _semicon_comment_final.doc

Microsoft Word _semicon_comment_final.doc Issue&News 산업분석 211.16 반도체 Overweight [ 비중확대, 유지 ] DRAM 상승세 2 월까지지속될전망 투자포인트 Top pick 강정원 769.362 jeffkang@daishin.com 삼성전자 Buy 목표주가 32% 2,, 종목명 투자의견 목표주가 삼성전자 Buy 2,, 원 SK 하이닉스 Buy 32, 원 한미반도체 Buy 1,5

More information

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation 216 년 1 월 27 일 I Equity Research LG 전자 (6657) 가전, TV 수익성확인과전장부품이라는미래 4Q15 Review: 영업이익 3,49억원으로컨센서스상회 LG전자의 15년 4분기매출액은 14조 5,61억원 (YoY -4%, QoQ +4%), 영업이익은 3,49억원 (YoY +29%, QoQ +19%) 으로컨센서스를상회하는호실적을달성했다.

More information

untitled

untitled BUY ( I ) TP : 5,7 (368): VAN Analyst 377-3547 seuoh@myasset.com 26 3.2% 3 6. 29.9% 2 26 414, 22 26 23.5%. 26 PG 14, PG 22 (26 33.5%), 15( 3.9%) 2 1 27 17.5%, 16.1% 615, 63 5,7, Buy Stock Information (

More information

Microsoft Word doc

Microsoft Word doc Note 기업분석 (011780.KS) 2008 년 7 월 15 일 Buy ( 유지 ) 목표주가 70,000 원 ( 유지 ) Analyst 김재중 02)768-7580, jj.kim@wooriwm.com 김선우 02)768-7605, anthony.kim@wooriwm.com 뛰어난실적으로그룹및시장리스크극복전망합성고무가격강세를반영하여이익전망을상향조정함 예상을상회한합성고무가격과환율등을반영하여의

More information

표1. LG디스플레이 4분기실적 Preview ( 단위 : 십억원, %) 4Q17 1Q18 2Q18 3Q18 4Q18E 예상치 y-y q-q 기존추정컨센서스 1Q19F 매출액 7,126 5,675 5,611 6,12 7, ,41 7,58 5,78 영업이익

표1. LG디스플레이 4분기실적 Preview ( 단위 : 십억원, %) 4Q17 1Q18 2Q18 3Q18 4Q18E 예상치 y-y q-q 기존추정컨센서스 1Q19F 매출액 7,126 5,675 5,611 6,12 7, ,41 7,58 5,78 영업이익 (3422.KS) 부담요인해소가절실한상황 4 분기미국스마트폰업체신제품출시효과로영업실적흑자기조유지 할것. 그러나 1 분기전사영업실적적자전환전망, 실적가시성낮은 중소형 OLED 등이밸류에이션회복에불리한요인으로부각될수있음. 다만대형 OLED 의기조적수요성장과높아진이익가시성이밸류에이션 하단지지할것. 장기관점에서의접근권고 4 분기영업이익 1,448 억원추정 4 분기연결실적으로매출액

More information

Though the shopping list may change, starting off 2010, we would like to recommend the following to keep note

Though the shopping list may change, starting off 2010, we would like to recommend the following to keep note Company Report 217.2.2 동아엘텍 (8813 KQ) 강한실적개선세지속전망 [IT] 박성순 Equity Analyst sspark@barofn.com +822-699-8663 김지훈 R.A sidaugam@barofn.com +822-699-86 Target Price 현재주가 BUY (TP 유지 ) 32, 원 24,7 원 목표수익률 29.6%

More information

KT&G (033780

KT&G (033780 (036570.KS) Company Comment 2015. 1. 2 블소모바일중국시장테스트완료 블레이드앤소울모바일게임은지난 12 월말에중국 Tencent 를통해블레이드앤소울모바일게임테스트를진행. 블소모바일을필두로동사의모바일게임은 2015 년에순 차적으로출시될것. 모바일게임의성공이동사기업가치 Re-rating 의열쇠가될것 블레이드앤소울모바일게임, 중국 TENCENT

More information

< FB9DDB5B5C3BC205FBBEABEF7>

< FB9DDB5B5C3BC205FBBEABEF7> SK 하이닉스 (000660) 기업분석 3분기실적보다는업황회복추세에주목하자 서브카피 BUY 현재 직전 변동 투자의견 BUY 신규 목표주가 27,000 신규 Earnings Investment Rationale Valuation Call 단기이익모멘텀 장기성장성 Trading Data & Expected Return 현재가 (8/23) 예상주가상승률시가총액비중

More information

한화 ( KS) 구조개편마무리, 견조한성장기대 Company Comment 분기실적은한화케미칼부진으로시장컨센서스하회추정. 그러나, 방 산과건설주도로 2019 년영업이익 6% y-y 성장할전망. 구조개편통한 사업포트폴리오강화재원확보, 계

한화 ( KS) 구조개편마무리, 견조한성장기대 Company Comment 분기실적은한화케미칼부진으로시장컨센서스하회추정. 그러나, 방 산과건설주도로 2019 년영업이익 6% y-y 성장할전망. 구조개편통한 사업포트폴리오강화재원확보, 계 (000880.KS) 구조개편마무리, 견조한성장기대 Company Comment 2019. 1. 9 4 분기실적은케미칼부진으로시장컨센서스하회추정. 그러나, 방 산과건설주도로 2019 년영업이익 6% y-y 성장할전망. 구조개편통한 사업포트폴리오강화재원확보, 계열사상장가능성등기반 NAV( 순자산 가치 ) 모멘텀기대. 현주가는 NAV 대비 47% 할인 구조개편마무리,

More information

SK 하이닉스 (66) SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비고 : 최저점수준의 P/B Multiple ( 배 ) 3.5 SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 )

SK 하이닉스 (66) SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비고 : 최저점수준의 P/B Multiple ( 배 ) 3.5 SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 ) Company Update 218. 3. 8 BUY(Maintain) 목표주가 : 12,원주가 (3/7): 82,7원시가총액 : 62,58억원 SK 하이닉스 (66) 사상최대실적과 3D NAND 투자확대 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 1Q18 영업이익 4.5 조원, 2Q18 영업이익 4.9

More information

Microsoft Word - 게임산업_20141211_4tSEdcX4cxGQHhUB6ht3

Microsoft Word - 게임산업_20141211_4tSEdcX4cxGQHhUB6ht3 Industry Report 게임산업 Dec 12, 214 : 저평가 + 트리거 + 실적 가시성 Top Pick 종목명 투자의견 목표주가(12M) 엔씨소프트 Buy 23,원 저평가, 이를 해소할 수 있는 트리거 보유 업체 단순히 낮은 밸류에이션을 받는 업체에 무조건적인 투자 옳지 않음. 낮은 밸류에이션을 받는 경우 대부분 그에 맞는 합당한 이유가 있기 때문.

More information

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L Sector update Korea / Semiconductors 25 February 2016 NEUTRAL Stocks under coverage Company Rating Price Target price 삼성전자 (005930 KS) BUY 1,172,000 1,550,000 SK 하이닉스 (000660 KS) BUY 30,900 37,000 이오테크닉스

More information

Microsoft Word - 2248_Daoudata_20080320.doc

Microsoft Word - 2248_Daoudata_20080320.doc Small Cap Report 투자전략 2008. 3. 20 Buy(매수) Analyst / 손세훈 (769-2076) wang2ma@daishin.com 자회사와 부동산 가치만으로도 싸다. 현재가(08/03/19) 3,505원 목표주가(6개월) 5,850원 액면가 500원 KOSDAQ 612.13 52주 최고/최저 6,860원/ 2,655원 자본금(보통주)

More information

2017 년 10 월 27 일 I Equity Research SK 하이닉스 (000660) 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익

2017 년 10 월 27 일 I Equity Research SK 하이닉스 (000660) 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익 217 년 1 월 27 일 I Equity Research 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익은 3.74조원 (YoY +415%, QoQ +23%) 으로컨센서스에부합하는실적을달성했다. DRAM 은서버향제품의수요강세가지속되는가운데모바일향제품의계절적수요증가로인해가격이전분기대비

More information

0904fc52803e572c

0904fc52803e572c 212. 4. 24 기업분석 (5619/매수) 디스플레이 상반기 부진은 하반기에 보상된다 투자의견 매수 유지, 목표주가 7,원으로 13% 하향 조정 에 대한 투자의견을 매수로 유지하지만 목표주가는 7,원으로 13% 하향 조정한다. 목표주가를 하향 조정하는 이유는 삼성디스플레이와 SMD 합병에 따른 발주 지 연으로 12~13년 EPS를 각각 13%, 18% 하향

More information

2007

2007 Eugene Research 기업분석 2019. 04. 30 삼성엔지니어링 (028050.KS) 좋은실적과곧나올해외수주 기계 / 조선 / 건설, 부동산이상우 Tel. 02)368-6874 / tinycare@eugenefn.com 시장 Consensus 대비 ( 영업이익기준 ) Above In-line Below O BUY( 유지 ) 목표주가 (12M, 상향

More information

Microsoft Word - I001_UNIT_ _ doc

Microsoft Word - I001_UNIT_ _ doc 2013-7-10 인터넷 / 게임 2Q13 Preview: 성장스토리유효 비중확대 ( 유지 ) Analyst 최관순 ks1.choi@sk.com +82-3773-8812 2Q13: 시장기대치소폭하회 인터넷포털 : 1 위사업자영향력강화 게임 : 해외성과에대한프리미엄 업종및투자포인트 구분투자의견 / 목표주가투자포인트 인터넷 / 게임 비중확대 NHN 매수 / 350,000원

More information

Microsoft Word - 2015080918390562K_01_38.docx

Microsoft Word - 2015080918390562K_01_38.docx LG상사 (112) 눈에 띄는 변화들이 긍정적 (원) 백재승 Analyst jaeseung.baek@samsung.com 2 22 7794 투자의견 목표주가 215E EPS 216E EPS LG상사에 대해 BUY 투자의견 및 목표주가 45,원으로 커버리지 재개 LG상사의 사업부는 1) 전통적인 무역 사업부와 더불어 2) 자원개발 사업, 3) 프로젝트 organizing

More information

Microsoft Word doc

Microsoft Word doc Note 기업분석 LG (003550.KS) 2008 년 2 월 21 일 Buy ( 유지 ) 목표주가 84,000 원 ( 유지 ) Analyst 이훈, CFA 02)768-7607, hoon.lee@wooriwm.com 채민경 02)768-7137, cindy.chae@wooriwm.com 비상장기업가치의재평가비상장기업에대한재평가는지속될전망 2월 20일열린

More information

1분기 Preview: 실적 저점 전망 1분기 영업적자: -957억원 추정 LG디스플레이의 1분기 연결 실적은 매출액 6.3조원(-16% q-q), 영업적자 -957억 원(적전 q-q)으로 추정한다. 영업적자는 당사 기존 전망치와 시장 컨센서스 보다 작을 것으로 예상한

1분기 Preview: 실적 저점 전망 1분기 영업적자: -957억원 추정 LG디스플레이의 1분기 연결 실적은 매출액 6.3조원(-16% q-q), 영업적자 -957억 원(적전 q-q)으로 추정한다. 영업적자는 당사 기존 전망치와 시장 컨센서스 보다 작을 것으로 예상한 (034220.KS) 1분기 깊은 골을 지났다 Company Comment 2016. 3. 30 단기적으로 강력한 실적모멘텀이 부각되는 것은 제한적이지만, 향후 LG 디스플레이 주가는 Downside Risk보다 Upside Potential이 큰 것으로 판단. 올 하반기 실적 턴어라운드를 겨냥하여 현 시점에서 비중확대 투자 전략 지속 권고 상반기 저점, 하반기

More information

Microsoft PowerPoint 산업전망_통장전부_v9.pptx

Microsoft PowerPoint 산업전망_통장전부_v9.pptx Contents 3 4 5 6 7 8 9 10 11 12 13 14 주 : Murata 는 3 월 31 일결산, Chiyoda Integre 는 8 월 31 일결산자료 : Bloomberg, 미래에셋대우리서치센터 15 자료 : Bloomberg, 미래에셋대우리서치센터 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32

More information

한국타이어 ( KS) 내수회복 Vs 테네시공장안정화지연 Company Comment 미국테네시공장안정화는내년 1 분기로지연되었으나, 내수시장에서는 유통구조개선에따른회복세진행. 또한, 양호한현금흐름을기반으로 한안정적사업구조는매크로불확실성

한국타이어 ( KS) 내수회복 Vs 테네시공장안정화지연 Company Comment 미국테네시공장안정화는내년 1 분기로지연되었으나, 내수시장에서는 유통구조개선에따른회복세진행. 또한, 양호한현금흐름을기반으로 한안정적사업구조는매크로불확실성 (16139.KS) 내수회복 Vs 테네시공장안정화지연 Company Comment 218. 1. 3 미국테네시공장안정화는내년 1 분기로지연되었으나, 내수시장에서는 유통구조개선에따른회복세진행. 또한, 양호한현금흐름을기반으로 한안정적사업구조는매크로불확실성하에서동사의상대적투자매력 점진적실적개선전망. 안정적인사업구조가강점 동사에대한 Buy 투자의견유지하나이익전망치변경을감안해목표주가를

More information

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\))

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\)) 218 년 5 월 9 일 에스텍 (6951) 기업분석 Mid-Small Cap Valuation 저평가 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 17, 원 1,6 원 상회부합하회 자동차용스피커 & 모바일이어폰성장동사는자동차용스피커와모바일이어폰에서성장성이부각되고있다.

More information

기업분석 BUY(Initiate) 목표주가 : 20,000원주가 (11/17): 14,800원시가총액 : 7,141억원 주성엔지니어링 (036930) 2018 년반도체, 디스플레이모두맑음 스몰캡 Analyst 한동희 02) do

기업분석 BUY(Initiate) 목표주가 : 20,000원주가 (11/17): 14,800원시가총액 : 7,141억원 주성엔지니어링 (036930) 2018 년반도체, 디스플레이모두맑음 스몰캡 Analyst 한동희 02) do 기업분석 217. 11. 2 BUY(Initiate) 목표주가 : 2,원주가 (11/17): 14,8원시가총액 : 7,141억원 주성엔지니어링 (3693) 218 년반도체, 디스플레이모두맑음 스몰캡 Analyst 한동희 2) 3787-292 donghee.han@kiwoom.com 주성엔지니어링의 218 년은반도체, 디스플레이주력고객사들의본격적투자사이클진입으로태양광시절이후최대실적을기록할것으로전망된다.

More information

네오위즈 ( KQ) 추가성장을위한원동력이필요한시점 Company Report 브라운더스트로모바일게임에서가능성을보였고, 블레스의기대이상 성과, 포트나이트 PC 방유통권확보를통해 2018 년개선되는모습을보 였음. 다만, 2019 년에도성장을

네오위즈 ( KQ) 추가성장을위한원동력이필요한시점 Company Report 브라운더스트로모바일게임에서가능성을보였고, 블레스의기대이상 성과, 포트나이트 PC 방유통권확보를통해 2018 년개선되는모습을보 였음. 다만, 2019 년에도성장을 (095660.KQ) 추가성장을위한원동력이필요한시점 Company Report 2018. 11. 5 브라운더스트로모바일게임에서가능성을보였고, 블레스의기대이상 성과, 포트나이트 PC 방유통권확보를통해 2018 년개선되는모습을보 였음. 다만, 2019 년에도성장을유지하기위해서는신규출시게임의성 공이필요한상황 브라운더스트, 블레스, 포트나이트까지 의 2018 년은브라운더스트의안정적인성과와스팀플랫폼내블레스의양호한초기성과,

More information

표 1. 삼성전기실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 매출액 - 수정후 6, , , , 수정전 - - 6, , 변동률

표 1. 삼성전기실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 매출액 - 수정후 6, , , , 수정전 - - 6, , 변동률 (009150.KS) 갤럭시 S8 출시로실적개선전망 삼성전기는 2017 년상반기갤럭시 S8 출시로 2017 년 1 분기실적회복 예상. 또한듀얼렌즈카메라와전장사업본격화가전망됨에따라목표주 가를 6.5 만원에서 8 만원으로상향 2017 년 1 분기전사업부실적개선으로흑자전환전망 2017 년 1 분기매출 1,432 억원, 영업이익 303 억원으로전분기대비흑자전환전망

More information

0904fc52803f4757

0904fc52803f4757 212. 5. 16 기업분석 덕산하이메탈 (7736/매수) 전자재료 잘나가는 OLED와 스마트폰의 중심에 서다 1Q12 Review: 수익성 측면에서 돋보였던 1분기 조우형 2-768-436 will.cho@dwsec.com 덕산하이메탈의 1분기 매출액은 325억원(+39.6% YoY), 영업이익은 92억원(+62.2% YoY, OPM 28.2%)으로 비수기임에도

More information

<4D F736F F D B4EBC7D1BEE0C7B028BFC2B6F3C0CE29>

<4D F736F F D B4EBC7D1BEE0C7B028BFC2B6F3C0CE29> 217 년 5 월 16 일 대한약품 (2391) 1Q17 Review 영업이익큰폭증가 기업분석 Mid-Small Cap Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 4, 원 29,7 원 상회부합하회 Stock Data KOSDAQ (5/15) 시가총액 발행주식수

More information

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final Apr 4, 216 Part 1 #1 반도체산업 IT Convergence vs Divergence [반도체] 최도연 3771-977 doyeon@iprovest.com [IDEA] IT Divergence 시대에서의 반도체 산업 전망 [업황] 메모리 반도체는 공급 초과. 비메모리는 재고 상당량 해소 [섹터뷰] 향후 반도체 수요 방향성 - DRAM

More information

아이콘트롤스 입주물량 증가로 스마트 홈 실적 호조 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (상향) 1Q16 매출액 +21.4% YoY, 영업이익 +13.9% YoY 시장 기대치보다 빠른 속도로 확대되는 스마트 홈 비즈니스 M

아이콘트롤스 입주물량 증가로 스마트 홈 실적 호조 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (상향) 1Q16 매출액 +21.4% YoY, 영업이익 +13.9% YoY 시장 기대치보다 빠른 속도로 확대되는 스마트 홈 비즈니스 M 입주물량 증가로 스마트 홈 실적 호조 2016.04.29 투자의견 BUY (유지) 목표주가 42,000원 (상향) 1Q16 매출액 +21.4% YoY, 영업이익 +13.9% YoY 시장 기대치보다 빠른 속도로 확대되는 스마트 홈 비즈니스 M&E 매출 기여 증가로 마진율은 둔화되나, 이익 레벨은 커질 것 시장 컨센서스 대비 매출액은 5.8% 상회, 영업이익은

More information

전기버스용대용량전지납품으로하반기중대형전지영업손실폭축소기대 LG화학차량용중대형전지매출전망을기존 15년 6, 억원, 16년 1조원에서 15년 6,5 억원, 16년 1.2조원으로상향조정. 동사는 16년르노, GM, 아우디, 볼보, 상해기차등글로벌완성차에대한 xev( 전기차

전기버스용대용량전지납품으로하반기중대형전지영업손실폭축소기대 LG화학차량용중대형전지매출전망을기존 15년 6, 억원, 16년 1조원에서 15년 6,5 억원, 16년 1.2조원으로상향조정. 동사는 16년르노, GM, 아우디, 볼보, 상해기차등글로벌완성차에대한 xev( 전기차 (5191.KS) Company Note 215. 9. 14 16 년이더기대되는업종대표주 3 분기예상영업이익은 5,266 억원으로기존예상치를크게벗어나지않을전망. 16 년에는 Olefin 시황호조, 프리미엄화학제품비중확대, 편광필름, LCD 글라스라인증설그리고중대형전지매출의성장등으로영업이익증가세를이어갈전망 최근주가반등 : 3 분기영업실적선전예상, 중대형배터리매출성장본격화

More information

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\))

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\)) 218 년 5 월 8 일 퍼시스 (168) 기업분석 Mid-Small Cap 안정적인현금흐름 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 42, 원 3,6 원 상회부합하회 Stock Data KOSPI (5/4) 시가총액 발행주식수 2,461.38pt 3,519

More information

<4D F736F F D FB1E8C0CEC7CA5FBFA4BAF1BCBCB9CCC4DC5FC0DBBCBAC1DF5F>

<4D F736F F D FB1E8C0CEC7CA5FBFA4BAF1BCBCB9CCC4DC5FC0DBBCBAC1DF5F> LIG Research Division Company Analysis 26/7/22 Analyst 김인필ㆍ2)6923-735ㆍipkim@ligstock.com 엘비세미콘 (697KQ Buy 유지 TP 5, 원상향 ) 내년까지안정적성장기대 - 2 분기실적, 영업수익 분기대비감소하나자회사처분손실부분의환입으로양호한실적기대 - PMIC 공급부족현상당분간지속될것으로기대되며내년

More information

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc Research Center 2005.4.26 에이디피 (079950) 2005년, 두 마리 토끼를 잡는다 Analyst 류제현 (02) 3774-1418 jayryu@miraeasset.com Initiate BUY Target Price 13,600원 Price(4/25) 9,840원 6개월 목표주가 13,600원, BUY 의견으로 Initiate 목표주가

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17E 3Q17E 4Q17E E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,945 10,339 10,522 11,

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17E 3Q17E 4Q17E E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,945 10,339 10,522 11, 실적 Preview 2017. 4. 18 Outperform(Maintain) 목표주가 : 34,000원주가 (4/17): 30,800원시가총액 : 110,207억원 LG 디스플레이 (034220) Flexible OLED 경쟁력확대가능성존재 Stock Data KOSPI (4/17) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

표1. LG디스플레이실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 2019F 매출액 - 수정후 26,504 27,774 28,798 29,785 - 수정전 27,934 29,110 29,904 - 변동률

표1. LG디스플레이실적전망 (IFRS 연결 ) ( 단위 : 십억원, 원, 배, %) E 2018F 2019F 매출액 - 수정후 26,504 27,774 28,798 29,785 - 수정전 27,934 29,110 29,904 - 변동률 (034220.KS) 갈등의배경과대응전략 Company Note 2017. 10. 26 LCD 패널수급붕괴전망은주가에상당부분반영. 동사는재차 OLED 사업으로의방향전환의지를천명. 주가는 LCD 시장지표 / 실적보다는 대형 OLED 투자불확실성해소 / 고객사퀄인증여부에따라반응할것 3 분기실적우려보다는선방 3 분기실적은매출액 6.97 조원 (+4% y-y, +5%

More information

/

/ 6 Analyst: tel 2) 768-7477 e-mail gene.park@wooriwm.com / / (26.1 = 1) 12 11 1 9 8 7 6 5 (%P) KOSPI 11% 1% 9% 8% 7% 6% 5% 4 '6.1 '6.7 '7.1 '7.7 '8.1 '8.7 '9.1 '9.7 '1.1 '1.7 '11.1 4% : Dataguide Pro, /

More information

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제 분 기 보 고 서 (제 18 기) 사업연도 2012년 01월 01일 2012년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2012 년 5 월 15 일 회 사 명 : 주성엔지니어링(주) 대 표 이 사 : 황 철 주 본 점 소 재 지 : 경기도 광주시 오포읍 능평리 49 (전 화) 031-760-7000 (홈페이지) http://www.jseng.com

More information

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 2016. 8. 1 7 월 PC DRAM 고정가는 4GB DDR3 모듈기준 13.25 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼성전자, SK 하이닉스긍정적 7 월 PC DRAM 고정가는현물가상승영향으로전월대비상승 7 월 PC DRAM

More information

LG 상사 ( KS) 분기별실적편차줄인안정적성장기대 Company Comment GTL 프로젝트배제해도 GAM 석탄광증산및석탄가격강세로 2018 년 영업이익 22% y-y 성장전망. 석탄사업이익기여확대 (49%) 로분기별 실적변동성축소기대

LG 상사 ( KS) 분기별실적편차줄인안정적성장기대 Company Comment GTL 프로젝트배제해도 GAM 석탄광증산및석탄가격강세로 2018 년 영업이익 22% y-y 성장전망. 석탄사업이익기여확대 (49%) 로분기별 실적변동성축소기대 (001120.KS) 분기별실적편차줄인안정적성장기대 Company Comment 2018. 2. 1 GTL 프로젝트배제해도 GAM 석탄광증산및석탄가격강세로 2018 년 영업이익 22% y-y 성장전망. 석탄사업이익기여확대 (49%) 로분기별 실적변동성축소기대 일회성비용반영과환율하락으로 4 분기실적, 시장컨센서스하회 의 4 분기매출액, 영업이익, 세전이익은 3

More information

이슈코멘트 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI (

이슈코멘트 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI ( 이슈코멘트 2016. 10. 12 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI (10/11) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information