Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]
|
|
- 보성 국
- 6 years ago
- Views:
Transcription
1 VHDL 프로그래밍 1. 문법기초 - 간단한조합회로및문법 학습목표 VHDL 기술과소프트웨어와차이파악 Signal assignment 의의미파악 Architecture body 의개념파악 Entity declaration 의개념파악 Process 문의사용법 Variable 과 signal 의차이파악 Library, Use, Package 의사용법 2/53
2 간단한논리회로예제 A B t1 X B C C A t2 t3 Y Boolean 수식 X = AB + BC, Y = BC + CA t2=bc, X = AB + t2, Y = t2 + CA 3/53 Boolean VHDL t2=bc, X = AB + t2, Y = t2 + CA t2 <= B and C; Boolean/logic op X <= (A and B) or t2; 문장끝 ; Y <= t2 or (C and A); Assignment op. variable? ibl? signal (time, value) 4/53
3 Wire?InVHDL Signal ( 시간에따라다른값을가짐 ) Y <= t2 or (C and A); X <= (A and B) or t2; t2 <= B and C; To use signals? Define Before Use!! Where? Within Architecture body!! 5/5353 Architecture Body architecture netlist of first is signal t2 : std_logic; begin Y <= t2 or (C and A); X <= (A and B) or t2; t2 <= B and C; end netlist; 6/53
4 소프트웨어와다른점? 각문장이병렬로수행됨 따라서, 문장순서가중요하지않음. Concurrent Signal Assignment 문 아래두개의 H/W 기술은같은동작 t2 <= B and C; X <= (A and B) or t2; X <= (A and B) or t2; t2 <= B and C; Y <= t2 or (C and A); Y <= t2 or (C and A); 7/53 신호할당문은언제수행되나? RHS 의한신호에값의변화가있을때 (Event 가생겼을때 ) X<= (A and B) or t2; A B t2 X 8/53
5 Entity 선언? A B B C C A t1 t2 t3 X Y Entity first is Port (A, B, C : in std_logic; inout X, Y : out std_logic); buffer End first; std_logic?? 9/53 std_logic 전선 /node/wire를모델링하는 type 가질수있는값? 0 -- Forcing Forcing 1 Z -- High Impedance - -- Don t care U -- Uninitialized X -- Forcing Unknown W -- Weak Unknown L -- Weak 0 H -- Weak 1 IEEE 의 std_logic_1164 package 에정의된 type (std_logic, std_logic_vector ) 10/53
6 std_logic 을사용하려면? library IEEE ; use IEEE.std_logic_1164.all; Library : 여러가지패키지포함 Use : library 의특정패키지사용 11/53 Libraries i and Packages Standard Library: IEEE, STD IEEE library std_logic_1164 std_logic, std_logic_vector std_logic_arith, std_logic_unsigned, std_logic_signed, std_logic_textio STD library : 기본적으로포함됨. library WORK, STD; use STD.STANDARD.all; STANDARD 12/53
7 Arch. Body, Entity decl. 외에? Design unit Primary unit Package declaration package body Secondary unit Entity declaration Architecture body Configuration declaration 13/53 Another way to describe Y <= t2 or (C and A); X <= (A and B) or t2; t2 <= B and C; process (A,B,C) variable ibl t2 : std_logic; dl begin Sequential exec. t2 := BandC; X <= (A and B) or t2; Sensitivity i i list Y <= t2 or (C and A); RHS signals end process; In Sig. Assignment. 14/53
8 Architecture t body (updated) d) architecture netlist of first is begin process (A,B,C), variable t2 : std_logic; begin t2 := B and C; X <= (A and B) or t2; Y <= t2 or (C and A); end process; end netlist; 15/5353 Y<= outside of process architecture netlist of first is begin process (A,B,C) variable t2 : std_logic; begin t2 := B and C; X <= (A and B) or t2; end process; Y <= (B and C) or (C and A); end netlist; No Problem? 16/53
9 To share AND gate? architecture netlist of first is Signal t2 : std_logic; begin t2 <= B and C; process (A, B, t2) begin X <= (A and B) or t2; end process; Y <= t2 or (C and A); end netlist; 17/53 The order of statements t t architecture netlist of first is begin process (A,B,C) variable t2 : std_logic; begin t2 := B and C; Y <= t2 or (C and A); X <= (A and B) or t2; end process; end netlist; 18/53
10 ALU (1/2) library IEEE; use IEEE.std_logic_1164.all; _ use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity alu is port ( A, B, M : IN std_logic_vector(2 downto 0); Y : out std_logic_vector(2 downto 0); CO : out std_logic ); end alu; 19/53 ALU (2/2) architecture t behav of alu is signal temp : std_logic_vector(3 downto 0); begin y <= temp(2 downto 0); CO <= temp(3); process (A, B, M) begin temp <= (others => '0'); case M is when "000" => temp(2 downto 0) <= not A; when "001" => temp(2 downto 0) <= A xor B; when "010" => temp(2 downto 0) <= A or B; when "011" => temp(2 downto 0) <= A and B; when "100" => temp <= ('0' & A) + B; when "101" => temp <= ( A(2) & A) + (not (B(2) & B)) + 1; when "110" => temp <= ('0' & A) + 1; when others => temp(2 downto 0) <= A; end case; end process; end behav; 20/53
11 문법기초 주석, 식별자, Literal 상수 Object class( 객체의종류 ) variable, signal, constant, file Object Data type Scalar, composite, file, access Operators (arithmetic ti & logical) l) Design units & Libraries 21/53 Comments in VHDL -- 로시작, 행의끝까지 -- this is a comment Entity test is... End test; -- the beginning of architecture... 22/53
12 Identifiers in VHDL Identifier ( 식별자 ) 변수, 신호, 상수, name 등. Letter 로시작 << VHDL 은대소문자구별이없음!! >> 다음에 letter, digit, _ 가옴. _ (underscore) 는연속해올수없음! 23/53 Literals in VHDL 정수형 literal 21, 0, 1E2, 123_000 실수형 literal 11.0, 0.436, 3.141_592_6, 2.0E+4 문자형 literal 1, A, % 24/53
13 Literals in VHDL ( 계속 ) Base 를포함한숫자형 literal 2#1111_1100#, 1100# 16#FC#, 016#0FC#, 7#510# ( 모두 252 의미 ) 스트링 literal ad# sd 비트스트링 literal Prefix: B, O, X (Binary, Octal, Hexa) X F_FF, FF O 7777, B 1111_1111_ /5353 Objects in VHDL Object: 사용자가정의해서사용하는객체들 Object class( 객체의종류 ): 사용방식 variables, signals, constants, files Object type( 객체의 type): 데이터유형 Scalar, composite, file, access 26/53
14 Object data types VHDL object types scalar type access type File type composite type enumeration Integer real Physical array record 27/53 Object class (constant) 변경불가 Entity, architecture, process, package 내에서선언가능 예 : Constant vcc: real := 4.5; Constant t five : bit_vector t := 1010 ; 28/53
15 Object class (variable) 변경가능 ( 즉시변경됨 signal 과차이 ) Process, subprogram(proc., func.) 내에서만선언가능 예 : variable index : integer range 1 to 60; variable R : std_ logic _ vector(7 downto 0); 할당연산자 (variable assignment operator) R := ; index := 27; 29/53 Object class (signal) Entity, architecture내에서선언, process 내에서는선언불가. Entity decl. 의 port 들은모두 signal 임. 선언예 : Signal count : integer range 1 to 31; Signal ground : bit := 0 ; 할당연산자 : 신호 (time, value) pair 로표현 Ground <= 0 ; 0; count <= 23 after 20 ns; 30/53
16 Object class (file) VHDL-87 에서 (IEEE std ) File <id> : <name> is [in out] <logical_name>; File infile : TEXT is in sample.dat ; VHDL-93 (IEEE std ) 1993) File <id> : <file_type_name> [open file_open_mode] is <logical_name> File infile : text open read_mode is sample.dat File infile :text; file_open(infile, sample.dat, read_mode); file_close(infile) l 31/53 Object data type (scalar type) Enumeration type : ordered list Std_ulogic, std_logic, bit 등 Type bit is ( 0, 1 ); type state is (s0, s1, s2); Integer type Real type Physical type : 시간등단위가있는수 Type time is range -. To +.; Units fs; -- femto second ps = 1000 fs; ns = 1000 ps;... End units 32/53
17 Object data type (composite) Array type : 주의!!: 첨자표시 (, ) Type string is array (positive range <> ) of character; Type mem is array(0 to 1023, 7 downto 0) of bit; Record type Type instruction is record Op_field : OPR; OPD1 : integer range 0 to 127; OPD2 : integer range 0 to 127; End record; Variable cmd : instruction;... cmd.opd1 := 2; cmd.opr := ADD; 33/53 선언 Object data type (file type) type <file_type_name> >is file of f<t <type_name>; type vec_file is file of std_logic_vector(15 downto 0); 사용 file filea : vec_file is in test.dat ; 34/53
18 Object data type (access) C 에서 pointer type 과같음. 정의는되어있으나거의사용되지않음 35/5353 Subtype 이미정의된 type(base type) 에어떤조건을추가해새이름의 type 정의. 예 : Subtype eightval is integer range 0 to 7; Signal b : subtype; Subtype 사용하지않고도 range 제한가능. Signal b : integer range 0 to 7; 36/53
19 산술및논리연산자 Logical operator Relational operator Adding operator Sign Multiplying operator 기타 operator 37/53 연산자우선순위 구분 종류 우선순위 논리연산자 and, or, nand, nor, xor 6 관계연산자 =, /=, <, >, >=, <= 5 덧셈연산자 +, -, & 4 부호 +, - 3 곱셈연산자 *,/,mod,rem 2 기타연산자 **, abs, not 1 38/53
20 논리연산자사용예 잘못된논리연산자사용예 올바른논리연산자사용예 A <= x and y or z A <= x nor y nor z; A <= (x and y) or z ; B <= (x nor y) nor z; C <= x and y and z; 39/53 Concatenation 연산자사용예 signal sig6 : std_logic_vector(5 downto 0); signal sig4 : std_logic_vector(3 downto 0) ; signal sig3 : std_logic_vector(2 downto 0); signal sig2 : std_logic_vector(1 t downto 0); signal S1 : std_logic_vector(3 downto 0); signal S2 : std_logic_vector(4 t downto 0); sig3 <= sig2 & 1 ; sig6 <= 00 &sig4; sig8 <= sig2 & sig6; S1 <= 00 & 10 ; S2 <= 0 & S1; 40/53
21 Remainder Operator Integer division and remainder are defined by the following relation: A = (A/B)*B + (A rem B) where (A rem B) has the sign of A and an absolute value less than the absolute value aueof B. 41/53 Modulus Operator The result of the modulus operation is such that (A mod B) has the sign of B and absolute value less than the absolute value of B; in addition, for some integer value N, this result must satisfy the relation: A = B*N + (A mod B) 42/53
22 /, rem, mod 연산자의사용예 A B A/B A rem B A mod B /53 Work Library WORK library? 현재읽히는 VHDL 코드의분석결과가 default로저장되는위치. 라이브러리 : 논리적이름, 물리적이름 물리적이름 :HDD 의디렉토리 (folder) 이름 논리적이름 : VHDL 소스내에서의 lib. 이름 둘간대응시키는일 simulator의환경설정에서할일 44/53
23 VHDL analysis VHDL analyzer WORK LIB2 VHDL sources simulation results (waveform or text) VHDL simulator IEEE STD library Simulation commands 45/5353 표준자료형과표준패키지 중요표준패키지 std.textio, std.standard ieee.std_logic_1164, std_logic_unsigned, std_logic_arith 46/53
24 Std.standard 패키지 Boolean, character, integer, real, time, string, bit, bit_vector : type Natural, positive : subtype 47/53 Std.textiotextio 패키지 Plain text file 입출력위한데이터타입, 함수선언 Type: TEXT(file of character), LINE(access string) ti Input( STD_INPUT file), output( STD_OUTPUT file) : file object READ, WRITE, READ_LINE, WRITE_LINE 등입출력 function 48/53
25 IEEE.std_logic_1164 package Std_logic, std_ulogic Std_logic_vector, std_ulogic_vector and, nand, or, nor, xor, xnor, not (logical operator ) Type conversion functions To_bit(std_(u)logic td ( i bit) To_bitvector, to_stdulogic(bit std_ulogic) To_stdLogicVector(bitvector std_logic_ve ctor Falling_edge, rising_edge : 함수 49/53 Std_logic_1164, std_logic_arith std_logic_1164 std_logic std_logic_vector unsigned signed std_logic_arith type UNSIGNED is array (NATURAL range <>) of STD_LOGIC; type SIGNED is array (NATURAL range <>) of STD_LOGIC; 50/5353
26 Std_logic_unsigned/signed 산술 / 관계연산자 ( 내부적으로 unsigned/signed type으로해석해서계산하는 ) overloaded 둘중하나만사용해야혼돈이없음. 예 : function "+"(L: std_logic_vector; R: std_logic_vector) return STD_LOGIC_VECTOR; function "+"(L: STD_LOGIC_VECTOR; R: INTEGER) return STD_LOGIC_VECTOR; function "+"(L: INTEGER; R: STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function "+"(L: STD_LOGIC_VECTOR; R: STD_LOGIC) return STD_LOGIC_VECTOR; function "+"(L:"(L STD_LOGIC; R: STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; 51/5353 Std_logic_unsigned/signed 필요성? 내부계산을 signed 로하고싶을때 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_arith.all; Use ieee.std_logic_signed.all; signed 52/5353
27 Std_logic_arith Integer, unsigned, signed, std_logic_vector간 conversion 함수제공 예 :(size: 결과의비트수 ) function CONV_INTEGER(ARG: INTEGER) return INTEGER; function CONV_INTEGER(ARG: SIGNED) return INTEGER; function CONV_UNSIGNED(ARG: INTEGER; SIZE: INTEGER) return UNSIGNED; function CONV_UNSIGNED(ARG: SIGNED; SIZE: INTEGER) return UNSIGNED; function CONV_SIGNED(ARG: INTEGER; SIZE: INTEGER) return SIGNED; 53/53
歯Chap1-Chap2.PDF
ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing
MAX+plus II Getting Started - 무작정따라하기
무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,
Microsoft PowerPoint - VHDL06.ppt [호환 모드]
VHDL 프로그래밍 6. 부프로그램과패키지 한동일 학습목표 부프로그램의종류와차이점과활용방법에대해서배운다. 함수를정의하고호출하는방법을배운다. 프로시저를정의하고호출하는방법을배운다. 부프로그램오버로딩의개념을이해한다. 패키지의사용목적을배운다. 설계파일, 설계단위, 설계라이브러리의개념을이해한다. VHDL 의라이브러리구조를이해한다. 2/39 부프로그램 (subprogram)
C# Programming Guide - Types
C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든
디지털공학 5판 7-8장
Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET
1
1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2
Microsoft Word - 제6장 Beyond Simple Logic Gate.doc
제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.
제5장 PLD의 이해와 실습
제 5 장 PLD 의이해와실습 실험의목표 - 프로그래머블논리소자인 PAL 과 PLA, EPROM, CPLD 등에대하여이해한다. - MAX PLUS II를이용하여 CPLD 프로그램하는방법을배운다. - CPLD 굽는법에대하여익힌다. - VHDL 간단한표현과문법에대하여소개를한다. 실험도움자료 1. PLD(Programmable Logic Device) PLD는사용자가필요로하는논리기능을직접
Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]
VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.
Microsoft Word - logic2005.doc
제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift
Microsoft PowerPoint - VHDL08.ppt [호환 모드]
VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치
비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2
비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,
ºÎ·ÏB
B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].
Microsoft PowerPoint - [2009] 02.pptx
원시데이터유형과연산 원시데이터유형과연산 원시데이터유형과연산 숫자데이터유형 - 숫자데이터유형 원시데이터유형과연산 표준입출력함수 - printf 문 가장기본적인출력함수. (stdio.h) 문법 ) printf( Test printf. a = %d \n, a); printf( %d, %f, %c \n, a, b, c); #include #include
Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]
VHDL 프로그래밍 2. VHDL 언어사용해보기 한동일 학습목표 기존프로그래밍언어의간단한예를다룬다. VHDL 언어의간단한예를다룬다. 각언어의실제적인사용예를파악한다. 기존프로그래밍언어와비교되는 VHDL언어의차이점을이해한다. 엔티티선언의의미를파악한다. 아키텍처선언의의미를파악한다. VHDL 언어의문장구조를눈에익힌다. 디지털로직과이의 VHDL 표현과정을이해한다. 2/23
public key private key Encryption Algorithm Decryption Algorithm 1
public key private key Encryption Algorithm Decryption Algorithm 1 One-Way Function ( ) A function which is easy to compute in one direction, but difficult to invert - given x, y = f(x) is easy - given
PowerPoint 프레젠테이션
@ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program
Microsoft PowerPoint - ch07 - 포인터 pm0415
2015-1 프로그래밍언어 7. 포인터 (Pointer), 동적메모리할당 2015 년 4 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 포인터 (pointer) 란? 간접참조연산자
歯02-BooleanFunction.PDF
2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle
Microsoft PowerPoint - Chapter_04.pptx
프로그래밍 1 1 Chapter 4. Constant and Basic Data Types April, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 기본자료형문자표현방식과문자자료형상수자료형변환 기본자료형 (1/8) 3 변수 (Variables)
Microsoft PowerPoint - hw8.ppt [호환 모드]
8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit
OCW_C언어 기초
초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향
Microsoft PowerPoint - 27.pptx
이산수학 () n-항관계 (n-ary Relations) 2011년봄학기 강원대학교컴퓨터과학전공문양세 n-ary Relations (n-항관계 ) An n-ary relation R on sets A 1,,A n, written R:A 1,,A n, is a subset R A 1 A n. (A 1,,A n 에대한 n- 항관계 R 은 A 1 A n 의부분집합이다.)
HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M.
오늘할것 5 6 HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. Review: 5-2 7 7 17 5 4 3 4 OR 0 2 1 2 ~20 ~40 ~60 ~80 ~100 M 언어 e ::= const constant
<4D F736F F F696E74202D C31345FB0EDB1DE20BFB5BBF320C8B8B7CE20BCB3B0E82E BC8A3C8AF20B8F0B5E55D>
VHDL 프로그래밍 14. 고급영상회로설계 한동일 학습목표 영상포맷을이해한다. 파일입출력기능을이해한다. 원하는형태와포맷으로파일을입출력할수있다. 시뮬레이터의부가기능을활용할수있다. 영상회로의설계표현과논리합성결과의상관관계를이해한다. 게이트를최소화할수있는설계방법을파악한다. 다양한영상회로설계문제를 VHDL 설계문제로변환할수있다. 효과적인검증환경을이용하여완성도높은설계를할수있다.
슬라이드 1
/ 유닉스시스템개요 / 파일 / 프로세스 01 File Descriptor file file descriptor file type unix 에서의파일은단지바이트들의나열임 operating system 은파일에어떤포맷도부과하지않음 파일의내용은바이트단위로주소를줄수있음 file descriptor 는 0 이나양수임 file 은 open 이나 creat 로 file
Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt
변수와상수 1 변수란무엇인가? 변수 : 정보 (data) 를저장하는컴퓨터내의특정위치 ( 임시저장공간 ) 메모리, register 메모리주소 101 번지 102 번지 변수의크기에따라 주로 byte 단위 메모리 2 기본적인변수형및변수의크기 변수의크기 해당컴퓨터에서는항상일정 컴퓨터마다다를수있음 short
< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>
Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법
Microsoft PowerPoint - VHDL03.ppt [호환 모드]
VHDL 프로그래밍 3. VHDL 문법기초 한동일 학습목표 VHDL 언어를구성하는문자세트를배운다. VHDL 언어를구성하는문장구성요소를배운다. VHDL 언어의예약어에대해서숙지한다. VHDL언어의식별어를파악할줄알고사용할줄안다. 리터럴 (literal) 의종류를알고구분할수있다. 객체클래스의종류를알고구분할수있다. 형 (type) 의종류와선언방식을알수있다. 연산자의종류와우선순위를이해한다.
. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참
이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr
SIGPLwinterschool2012
1994 1992 2001 2008 2002 Semantics Engineering with PLT Redex Matthias Felleisen, Robert Bruce Findler and Matthew Flatt 2009 Text David A. Schmidt EXPRESSION E ::= N ( E1 O E2 ) OPERATOR O ::=
Microsoft PowerPoint - CH6.ppt [호환 모드]
II. VHDL 설계부 4 장. VHDL 개요 5 장. VHDL 설계구성 7 장. VHDL 모델링 8 장. VHDL 구문과예제 - 1 - 어휘요소 (Lexical Elements) 식별자, 리터럴, 예약어, 구분자로구분되어지며, 식별자와예약어의경우대소문자의구별이없다. 객체 (Objects) 류가있다. 데이터를저장하기위한기억장소를나타내며, 상수 / 변수 ( 파일
DIY 챗봇 - LangCon
without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external
컴파일러
YACC 응용예 Desktop Calculator 7/23 Lex 입력 수식문법을위한 lex 입력 : calc.l %{ #include calc.tab.h" %} %% [0-9]+ return(number) [ \t] \n return(0) \+ return('+') \* return('*'). { printf("'%c': illegal character\n",
Microsoft PowerPoint - chap04-연산자.pptx
int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에
10주차.key
10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1
T100MD+
User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+
Microsoft PowerPoint - hw4.ppt [호환 모드]
4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module
thesis
( Design and Implementation of a Generalized Management Information Repository Service for Network and System Management ) ssp@nile nile.postech.ac..ac.kr DPE Lab. 1997 12 16 GMIRS GMIRS GMIRS prototype
4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona
이름 : 학번 : A. True or False: 각각항목마다 True 인지 False 인지적으세요. 1. (Python:) randint 함수를사용하려면, random 모듈을 import 해야한다. 2. (Python:) '' (single quote) 는한글자를표현할때, (double quote) 는문자열을표현할때사용한다. B. 다음에러를수정하는방법을적으세요.
Libero Overview and Design Flow
Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim
<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>
VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE
歯15-ROMPLD.PDF
MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum
슬라이드 1
Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치
<C6F7C6AEB6F5B1B3C0E72E687770>
1-1. 포트란 언어의 역사 1 1-2. 포트란 언어의 실행 단계 1 1-3. 문제해결의 순서 2 1-4. Overview of Fortran 2 1-5. Use of Columns in Fortran 3 1-6. INTEGER, REAL, and CHARACTER Data Types 4 1-7. Arithmetic Expressions 4 1-8. 포트란에서의
Microsoft PowerPoint - lec2.ppt
2008 학년도 1 학기 상지대학교컴퓨터정보공학부 고광만 강의내용 어휘구조 토큰 주석 자료형기본자료형 참조형배열, 열거형 2 어휘 (lexicon) 어휘구조와자료형 프로그램을구성하는최소기본단위토큰 (token) 이라부름문법적으로의미있는최소의단위컴파일과정의어휘분석단계에서처리 자료형 자료객체가갖는형 구조, 개념, 값, 연산자를정의 3 토큰 (token) 정의문법적으로의미있는최소의단위예,
UML
Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌
Microsoft PowerPoint - PL_03-04.pptx
Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 프로그래밍 언어 소개 2 언어의 변천 3 프로그래밍 언어 설계 4 프로그래밍 언어의 구문과 구현 기법 5 6 7 컴파일러 개요 변수, 바인딩, 식 및 제어문 자료형 8
PowerPoint 프레젠테이션
Lecture 02 프로그램구조및문법 Kwang-Man Ko kkmam@sangji.ac.kr, compiler.sangji.ac.kr Department of Computer Engineering Sang Ji University 2018 자바프로그램기본구조 Hello 프로그램구조 sec01/hello.java 2/40 자바프로그램기본구조 Hello 프로그램구조
Microsoft Word - Experiment 5.docx
Experiment 5. Use of Generic Array Logic Abstract 본실험에서는임의의복잡한회로를구현하기위한방법으로수업시간에배운 Programmable Logic Device(PLD) 를직접프로그램하여사용해보도록한다. 첫째로, 본실험에서는한번프로그램되면퓨즈를끊는방향으로만수정할수있는 Programmable Array Logic을대신하여, 재생가능한
Slide 1
Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit
PRO1_14E [읽기 전용]
Siemens AG 1999 All rights reserved Date 22-2-19 File PRO1_14E1 Information and 2 3 S7-3 4 SM335 ( ) 5 SM335 ( ) 6 SM331 7 8 9 1 11 12 SM335 13 SM331 14 15 16 1 CPU ph 5mV 1V 5V 1V 2mA 42mA MR ADC PIW
Microsoft PowerPoint - chap03-변수와데이터형.pptx
#include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num %d\n", num); return 0; } 1 학습목표 의 개념에 대해 알아본다.
Microsoft Word - FunctionCall
Function all Mechanism /* Simple Program */ #define get_int() IN KEYOARD #define put_int(val) LD A val \ OUT MONITOR int add_two(int a, int b) { int tmp; tmp = a+b; return tmp; } local auto variable stack
C++-¿Ïº®Çؼ³10Àå
C C++. (preprocessor directives), C C++ C/C++... C++, C. C++ C. C C++. C,, C++, C++., C++.,.. #define #elif #else #error #if #itdef #ifndef #include #line #pragma #undef #.,.,. #include #include
Microsoft PowerPoint - chap02-C프로그램시작하기.pptx
#include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의
Observational Determinism for Concurrent Program Security
웹응용프로그램보안취약성 분석기구현 소프트웨어무결점센터 Workshop 2010. 8. 25 한국항공대학교, 안준선 1 소개 관련연구 Outline Input Validation Vulnerability 연구내용 Abstract Domain for Input Validation Implementation of Vulnerability Analyzer 기존연구
디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법
디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개
Microsoft PowerPoint - CHAP-03 [호환 모드]
컴퓨터구성 Lecture Series #4 Chapter 3: Data Representation Spring, 2013 컴퓨터구성 : Spring, 2013: No. 4-1 Data Types Introduction This chapter presents data types used in computers for representing diverse numbers
강의10
Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced
PowerPoint Presentation
Class - Property Jo, Heeseung 목차 section 1 클래스의일반구조 section 2 클래스선언 section 3 객체의생성 section 4 멤버변수 4-1 객체변수 4-2 클래스변수 4-3 종단 (final) 변수 4-4 멤버변수접근방법 section 5 멤버변수접근한정자 5-1 public 5-2 private 5-3 한정자없음
1020041200.hwp
20 2004-7-1 21 22 2004-7-1 23 B M B P C B C C C C C Co M B P M B P B FC P B: C: M: P: C C FC C M FC : Co : :, 2004. 24 2004-7-1 25 1999 2000 2001 2002 26 2004-7-1 27 28 2004-7-1 29 30 2004-7-1 31 32 2004-7-1
[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi
2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Function) 1. 함수의개념 입력에대해적절한출력을발생시켜주는것 내가 ( 프로그래머 ) 작성한명령문을연산, 처리, 실행해주는부분 ( 모듈 ) 자체적으로실행되지않으며,
No Slide Title
Copyright, 2001 Multimedia Lab., CH 3. COM object (In-process server) Eun-sung Lee twoss@mmlab.net Multimedia Lab. Dept. of Electrical and Computer Eng. University of Seoul Seoul, Korea 0. Contents 1.
USER GUIDE
Solution Package Volume II DATABASE MIGRATION 2010. 1. 9. U.Tu System 1 U.Tu System SeeMAGMA SYSTEM 차 례 1. INPUT & OUTPUT DATABASE LAYOUT...2 2. IPO 중 VB DATA DEFINE 자동작성...4 3. DATABASE UNLOAD...6 4.
Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드]
Chapter 03 변수와자료형 2009 한국항공대학교항공우주기계공학부 (http://mercury.kau.ac.kr/sjkwon) 1 변수와자료유형 변수 프로그램에서자료값을임시로기억할수있는저장공간을변수 (variables) 변수 (Variables) 는컴퓨터의메모리인 RAM(Random Access Memory) 에저장 물건을담는박스라고생각한다면박스의크기에따라담을물건이제한됨
Microsoft PowerPoint - chap-03.pptx
쉽게풀어쓴 C 언어 Express 제 3 장 C 프로그램구성요소 컴퓨터프로그래밍기초 이번장에서학습할내용 * 주석 * 변수, 상수 * 함수 * 문장 * 출력함수 printf() * 입력함수 scanf() * 산술연산 * 대입연산 이번장에서는 C프로그램을이루는구성요소들을살펴봅니다. 컴퓨터프로그래밍기초 2 일반적인프로그램의형태 데이터를받아서 ( 입력단계 ), 데이터를처리한후에
03-JAVA Syntax(2).PDF
JAVA Programming Language Syntax of JAVA (literal) (Variable and data types) (Comments) (Arithmetic) (Comparisons) (Operators) 2 HelloWorld application Helloworldjava // class HelloWorld { //attribute
Microsoft PowerPoint 자바-기본문법(Ch2).pptx
자바기본문법 1. 기본사항 2. 자료형 3. 변수와상수 4. 연산자 1 주석 (Comments) 이해를돕기위한설명문 종류 // /* */ /** */ 활용예 javadoc HelloApplication.java 2 주석 (Comments) /* File name: HelloApplication.java Created by: Jung Created on: March
4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1
: LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW
12-file.key
11 (String).. java.lang.stringbuffer. s String s = "abcd"; s = s + "e"; a b c d e a b c d e ,., "910359,, " "910359" " " " " (token) (token),, (delimiter). java.util.stringtokenizer String s = "910359,,
Microsoft PowerPoint - Chapter_02.pptx
프로그래밍 1 1 Chapter 2. Types, Operators, and Expressions March, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 변수의이해 C언어의표준키워드연산자소개키보드입력 변수의이해 (1/9) 3 덧셈예제 3 +
11장 포인터
누구나즐기는 C 언어콘서트 제 9 장포인터 이번장에서학습할내용 포인터이란? 변수의주소 포인터의선언 간접참조연산자 포인터연산 포인터와배열 포인터와함수 이번장에서는포인터의기초적인지식을학습한다. 포인터란? 포인터 (pointer): 주소를가지고있는변수 메모리의구조 변수는메모리에저장된다. 메모리는바이트단위로액세스된다. 첫번째바이트의주소는 0, 두번째바이트는 1, 변수와메모리
쉽게
Power Java 제 4 장자바프로그래밍기초 이번장에서학습할내용 자바프로그램에대한기초사항을학습 자세한내용들은추후에. Hello.java 프로그램 주석 주석 (comment): 프로그램에대한설명을적어넣은것 3 가지타입의주석 클래스 클래스 (class): 객체를만드는설계도 ( 추후에학습 ) 자바프로그램은클래스들로구성된다. 그림 4-1. 자바프로그램의구조 클래스정의
untitled
Push... 2 Push... 4 Push... 5 Push... 13 Push... 15 1 FORCS Co., LTD A Leader of Enterprise e-business Solution Push (Daemon ), Push Push Observer. Push., Observer. Session. Thread Thread. Observer ID.
예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A
예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = 1 2 3 4 5 6 7 8 9 B = 8 7 6 5 4 3 2 1 0 >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = 0 0 0 0 1 1 1 1 1 >> tf = (A==B) % A 의원소와 B 의원소가똑같은경우를찾을때 tf = 0 0 0 0 0 0 0 0 0 >> tf
step 1-1
Written by Dr. In Ku Kim-Marshall STEP BY STEP Korean 1 through 15 Action Verbs Table of Contents Unit 1 The Korean Alphabet, hangeul Unit 2 Korean Sentences with 15 Action Verbs Introduction Review Exercises
chap 5: Trees
5. Threaded Binary Tree 기본개념 n 개의노드를갖는이진트리에는 2n 개의링크가존재 2n 개의링크중에 n + 1 개의링크값은 null Null 링크를다른노드에대한포인터로대체 Threads Thread 의이용 ptr left_child = NULL 일경우, ptr left_child 를 ptr 의 inorder predecessor 를가리키도록변경
<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770>
i ii iii iv v vi 1 2 3 4 가상대학 시스템의 국내외 현황 조사 가상대학 플랫폼 개발 이상적인 가상대학시스템의 미래상 제안 5 웹-기반 가상대학 시스템 전통적인 교수 방법 시간/공간 제약을 극복한 학습동기 부여 교수의 일방적인 내용전달 교수와 학생간의 상호작용 동료 학생들 간의 상호작용 가상대학 운영 공지사항,강의록 자료실, 메모 질의응답,
PowerPoint Template
16-1. 보조자료템플릿 (Template) 함수템플릿 클래스템플릿 Jong Hyuk Park 함수템플릿 Jong Hyuk Park 함수템플릿소개 함수템플릿 한번의함수정의로서로다른자료형에대해적용하는함수 예 int abs(int n) return n < 0? -n : n; double abs(double n) 함수 return n < 0? -n : n; //
2007_2_project4
Programming Methodology Instructor: Kyuseok Shim Project #4: external sort with template Due Date: 0:0 a.m. between 2007-12-2 & 2007-12-3 Introduction 이프로젝트는 C++ 의 template을이용한 sorting algorithm과정렬해야할데이터의크기가
PCServerMgmt7
Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network
SRC PLUS 제어기 MANUAL
,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO
슬라이드 1
3 장. 선행자료 어휘원소, 연산자와 C 시스템 박종혁교수 UCS Lab Tel: 970-6702 Email: jhpark1@seoultech.ac.kr SeoulTech 2019-1 st 프로그래밍입문 (1) 2 목차 1.1 문자와어휘원소 1.2 구문법칙 1.3 주석 1.4 키워드 (Keyword) 1.5 식별자 (Identifier) 1.6 상수 (Integer,
Microsoft PowerPoint - 2주차-1차시 (강의자료) ch01 - C Programming 기초 (part 2)
일반적인프로그램의기본구성형태 데이터를받아서 ( 입력단계 ), 데이터를처리한후에 ( 처리단계 ), 결과를화면에출력 ( 출력단계 ) 한다. 데이터입력 데이터처리 결과출력 1-23 덧셈프로그램 #1 주석 전처리기지시어 /* 두개의숫자의합을계산하는프로그램 */ #include 함수 int main(void) { int x; int y; int sum;
歯처리.PDF
E06 (Exception) 1 (Report) : { $I- } { I/O } Assign(InFile, InputName); Reset(InFile); { $I+ } { I/O } if IOResult 0 then { }; (Exception) 2 2 (Settling State) Post OnValidate BeforePost Post Settling
Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]
Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level
chap10.PDF
10 C++ Hello!! C C C++ C++ C++ 2 C++ 1980 Bell Bjarne Stroustrup C++ C C++ C, C++ C C 3 C C++ (prototype) (type checking) C C++ : C++ 4 C C++ (prototype) (type checking) [ 10-1] #include extern
Microsoft PowerPoint - chap12-고급기능.pptx
#include int main(void) int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; 1 학습목표 가 제공하는 매크로 상수와 매크로
Secure Programming Lecture1 : Introduction
Malware and Vulnerability Analysis Lecture3-2 Malware Analysis #3-2 Agenda 안드로이드악성코드분석 악성코드분석 안드로이드악성코드정적분석 APK 추출 #1 adb 명령 안드로이드에설치된패키지리스트추출 adb shell pm list packages v0nui-macbook-pro-2:lecture3 v0n$
3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45
3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : 20049 0/45 Define ~ Analyze Define VOB KBI R 250 O 2 2.2% CBR Gas Dome 1290 CTQ KCI VOC Measure Process Data USL Target LSL Mean Sample N StDev (Within) StDev
PowerPoint 프레젠테이션
Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING
Week5
Week 05 Iterators, More Methods and Classes Hash, Regex, File I/O Joonhwan Lee human-computer interaction + design lab. Iterators Writing Methods Classes & Objects Hash File I/O Quiz 4 1. Iterators Array
Orcad Capture 9.x
OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd
Modern Javascript
ES6 - Arrow Function Class Template String Destructuring Default, Rest, Spread let, const for..of Promises Module System Map, Set * Generator * Symbol * * https://babeljs.io/ Babel is a JavaScript compiler.
chap x: G입력
재귀알고리즘 (Recursive Algorithms) 재귀알고리즘의특징 문제자체가재귀적일경우적합 ( 예 : 피보나치수열 ) 이해하기가용이하나, 비효율적일수있음 재귀알고리즘을작성하는방법 재귀호출을종료하는경계조건을설정 각단계마다경계조건에접근하도록알고리즘의재귀호출 재귀알고리즘의두가지예 이진검색 순열 (Permutations) 1 장. 기본개념 (Page 19) 이진검색의재귀알고리즘
,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),
1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)
PowerPoint Presentation
#include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 변수와상수의개념에대해알아본다.