Size: px
Start display at page:

Download ""

Transcription

1 ISSN 제44권 7호 2017 년 7 월호 The Magazine of the IEIE vol.44. no.7 최신무선통신기술및표준화동향 차세대이동통신시스템에서의위치측위기술및표준화동향 IMT G 서비스용후보대역결정을위한공유연구동향 P 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 RF 무선전력전송기술과관련무선통신연구동향 하이브리드인쇄전자기술을이용한고주파무선전력수확기 인지무선및고속의신호정보수집을위한채널화한수신기기술

2

3

4

5 Contents 제 44 권 7 호 (2017 년 7 월 ) 학회소식 12 학회소식 / 편집부 18 학회일지 19 특집편집기 / 이승호 특집 : 최신무선통신기술및표준화동향 20 차세대이동통신시스템에서의위치측위기술및표준화동향 / 이웅희, 변일무 26 IMT G 서비스용후보대역결정을위한공유연구동향 / 조한신 학회지 7 월호표지 (vol 44. No 7) 회지편집위원회 위원장황인철 ( 강원대학교교수 ) 위원권구덕 ( 강원대학교교수 ) 김동규 ( 한양대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김현 ( 부천대학교교수 ) 김호철 ( 을지대학교교수 ) 박종선 ( 고려대학교교수 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 심정연 ( 강남대학교교수 ) 여희주 ( 대진대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이찬수 ( 영남대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정승원 ( 동국대학교교수 ) 최용수 ( 성결대학교교수 ) 허준 ( 고려대학교교수 )) 사무국편집담당변은정차장 ( 내선 3) TEL : (02) ( 대 ) FAX : (02) 학회홈페이지 p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 / 백승환, 한승호, 송창익 47 RF 무선전력전송기술과관련무선통신연구동향 / 이경재, 김동완, 임용석 55 하이브리드인쇄전자기술을이용한고주파무선전력수확기 / 김상길 61 인지무선및고속의신호정보수집을위한채널화한수신기기술 / 김주성 66 논문지논문목차 정보교차로 68 국내외학술행사안내 / 편집부 80 특별회원사, 단체회원

6 The Magazine of the IEIE 2017 년도임원및각위원회위원 회 장 홍대식 ( 연세대학교교수 ) - 총괄 수석부회장백준기 ( 중앙대학교교수 ) - 총괄, 영문논문지 고 문 구원모 ( 전자신문사대표이사 ) 김기남 ( 삼성전자 사장 ) 박성욱 (SK하이닉스 부회장 ) 박청원 ( 전자부품연구원원장 ) 백만기 ( 김 & 장법률사무소변리사 ) 이상훈 ( 한국전자통신연구원원장 ) 이재욱 ( 노키아티엠씨명예회장 ) 이희국 ( LG 상임고문 ) 천경준 ( 씨젠회장 ) 감 사 백흥기 ( 전북대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 부 회 장 서승우 ( 서울대학교교수 ) - 사업 안승권 (LG 전자 사장 ) - 산학연 이장명 ( 부산대학교교수 ) - 회원, 지부, 표준화 임혜숙 ( 이화여자대학교교수 ) - 학술 최천원 ( 단국대학교교수 ) - 기획, 국문논문, 교육 / 홍보, 회지 소사이어티회장 이흥노 ( 광주과학기술원교수 ) - 통신소사이어티 전영현 ( 삼성SDI 사장 ) - 반도체소사이어티 김승천 ( 한성대학교교수 ) - 컴퓨터소사이어티 김정태 ( 이화여자대학교교수 ) - 신호처리소사이어티 정길도 ( 전북대학교교수 ) - 시스템및제어소사이어티 원영진 ( 부천대학교교수 ) - 산업전자소사이어티 산업체부회장 김창용 ( 삼성전자 DMC 연구소장 ) 오성목 (KT 사장 ) 협동부회장김기호 ( 에스프린팅솔루션 대표이사 ) 김달수 ( 티엘아이대표이사 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원실장 ) 김수원 ( 고려대학교교수 ) 김종대 ( 한국전자통신연구원책임연구원 ) 김철동 ( 세원텔레텍대표이사 ) 남상엽 ( 국제대학교교수 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박형무 ( 동국대학교교수 ) 성하경 ( 전자부품연구원선임연구본부장 ) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 엄낙웅 ( 한국전자통신연구원소장 ) 유현규 ( 한국전자통신연구원책임연구원 ) 유회준 (KAIST 교수 ) 윤기방 ( 인천대학교교수 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이승훈 ( 서강대학교교수 ) 이윤종 ( 동부하이텍부사장 ) 이재훈 ( 유정시스템 사장 ) 장태규 ( 중앙대학교교수 ) 전성호 ( 솔루엠대표이사 ) 정은승 ( 삼성전자 부사장 ) 정 준 ( 쏠리드대표이사 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 조상복 ( 울산대학교교수 ) 최승원 ( 한양대학교교수 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 호요성 ( 광주과학기술원교수 ) 상임이사 강문식 ( 강릉원주대학교교수 ) - 교육 / 홍보 강성원 ( 한국전자통신연구원본부장 ) - 표준화 공준진 ( 삼성전자 마스터 ) - 사업 김선욱 ( 고려대학교교수 ) - 학술 ( 추계 ) 김수환 ( 서울대학교교수 ) - 논문 김종옥 ( 고려대학교교수 ) - 정보화 노원우 ( 연세대학교교수 ) - 총무 동성수 ( 용인송담대학교교수 ) - 교육 박종일 ( 한양대학교교수 ) - 회원 백광현 ( 중앙대학교교수 ) - 산학연 범진욱 ( 서강대학교교수 ) - 사업 심동규 ( 광운대학교교수 ) - SPC 예종철 (KAIST 교수 ) - 사업 유창동 (KAIST 교수 ) - 사업 윤석현 ( 단국대학교교수 ) - 사업 이충용 ( 연세대학교교수 ) - 재무 이혁재 ( 서울대학교교수 ) - 기획 / 논문 전병우 ( 성균관대학교교수 ) - 학술 ( 하계 ) 정종문 ( 연세대학교교수 ) - 국제협력 / 학술 황인철 ( 강원대학교교수 ) - 회지 산업체이사고요환 ( 매그나칩반도체전무 ) 김태진 ( 더즈텍사장 ) 김현수 ( 삼성전자 상무 ) 박동일 ( 현대자동차 전무 ) 송창현 ( 네이버 CTO) 오의열 (LG 디스플레이 연구위원 ) 윤영권 ( 삼성전자 마스터 ) 조영민 ( 스카이크로스코리아사장 ) 조재문 ( 삼성전자 전무 ) 차종범 ( 구미전자정보기술원원장 ) 최승종 (LG 전자 전무 ) 최진성 (SK텔레콤전무 ) 함철희 ( 삼성전자 마스터 ) 홍국태 (LG 전자 연구위원 ) 이 사 공배선 ( 성균관대학교교수 ) - 학술 ( 하계 ) 권기룡 ( 부경대학교교수 ) - 학술 ( 하계 ) 권기원 ( 성균관대학교교수 ) - 국제협력 권종기 ( 한국전자통신연구원책임연구원 ) - 사업 권혁인 ( 중앙대학교교수 ) - 산학연 김경기 ( 대구대학교교수 ) - 사업 / 산학연 김도현 ( 제주대학교교수 ) - 기획 김동규 ( 한양대학교교수 ) - 회지 김동순 ( 전자부품연구원센터장 ) - 학술 ( 하계 ) 김성진 ( 경남대학교교수 ) - ITC-CSCC 김성호 ( 한국산업기술평가관리원팀장 ) - 학술 ( 하계 ) 김소영 ( 성균관대학교교수 ) - 학술 ( 하계 )

7 김용신 ( 고려대학교교수 ) - 학술 ( 추계 ) 김원종 ( 한국전자통신연구원팀장 ) - 표준화 김원하 ( 경희대학교교수 ) - 학술 ( 하계 ) 김지훈 ( 서울과학기술대학교교수 ) - 총무 / 기획 김창수 ( 고려대학교교수 ) - 회원 김태욱 ( 연세대학교교수 ) - 재무 김태원 ( 상지영서대학교교수 ) - 기획 김형탁 ( 홍익대학교교수 ) - 사업 노태문 ( 한국전자통신연구원책임연구원 ) - 학술 ( 하계 ) 류수정 ( 삼성전자상무 ) - 사업 박수현 ( 국민대학교교수 ) - 기획 박현창 ( 동국대학교교수 ) - 교육 서춘원 ( 김포대학교교수 ) - 학술 ( 하계 ) 성해경 ( 한양여자대학교교수 ) - 교육 / 홍보 손광준 ( 한국산업기술평가관리원 PD) - 총무 송민규 ( 동국대학교교수 ) - 회지 송병철 ( 인하대학교교수 ) - 사업 /SPC 송상헌 ( 중앙대학교교수 ) - 교육 신지태 ( 성균관대학교교수 ) - 학술 ( 하계 ) 심대용 (SK하이닉스상무 ) - 논문 심정연 ( 강남대학교교수 ) - 논문 / 국제협력 안태원 ( 동양미래대학교교수 ) - 논문 안현식 ( 동명대학교교수 ) - 기획 연규봉 ( 자동차부품연구원센터장 ) - 표준화 이광엽 ( 서경대학교교수 ) - 기획 / 산학연 이병근 ( 광주과학기술원교수 ) - 회지 이상근 ( 중앙대학교교수 ) - 기획 / 하계 이성수 ( 숭실대학교교수 ) - 기획 이승호 ( 한밭대학교교수 ) - 회지 이용구 ( 한림성심대학교교수 ) - 논문 이윤식 (UNIST 교수 ) - 교육 / 홍보 이찬호 ( 숭실대학교교수 ) - 교육 / 홍보 이창우 ( 가톨릭대학교교수 ) - 국제협력 이한호 ( 인하대학교교수 ) - 학술 ( 하계 ) 이현중 ( 한국전자통신연구원박사 ) - 논문 인치호 ( 세명대학교교수 ) - 학술 ( 하계 ) 정승원 ( 동국대학교교수 ) - 회지 / 학술 ( 추계 ) 정영모 ( 한성대학교교수 ) - 논문 제민규 (KAIST 교수 ) - 사업 조명진 ( 네이버박사 ) - 정보화 조성현 ( 한양대학교교수 ) - 국제협력 최강선 ( 한국기술교육대학교교수 ) - 학술 ( 하계 ) 한완옥 ( 여주대학교교수 ) - 사업 한재호 ( 고려대학교교수 ) - 정보화 한종기 ( 세종대학교교수 ) - 학술 ( 하계 )/SPC 협동이사 강봉순 ( 동아대학교교수 ) - ITC-CSCC 고정환 ( 인하공업전문대학교수 ) - 산학연 구자일 ( 인하공업전문대학교수 ) - 교육 권구덕 ( 강원대학교교수 ) - 회지 김상효 ( 성균관대학교교수 ) - 학술 ( 하계 ) 김성호 ( 영남대학교교수 ) - 회원 김수찬 ( 한경대학교교수 ) - 논문 김영로 ( 명지전문대학교수 ) - 학술 김영선 ( 대림대학교교수 ) - 산학연 김영진 ( 한국항공대학교교수 ) - 회지 김용석 ( 성균관대학교교수 ) - 학술 ( 하계 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) - 사업 김 현 ( 부천대학교교수 ) - 학술 김현진 ( 단국대학교교수 ) - 사업 남기창 ( 동국대학교교수 ) - 학술 남대경 ( 전자부품연구원선임연구원 ) - 사업 박기찬 ( 건국대학교교수 ) - 표준화 박성홍 (KAIST 교수 ) - 사업 박영준 ( 홍익대학교교수 ) - 총무 박원규 ( 한국나노기술원본부장 ) - 표준화 박재형 ( 전남대학교교수 ) - 논문 박철수 ( 광운대학교교수 ) - SPC 박희민 ( 상명대학교교수 ) - 회원 백상헌 ( 고려대학교교수 ) - 국제협력 변영재 (UNIST 교수 ) - 학술 ( 추계 ) 선우경 ( 이화여자대학교교수 ) - 논문 송진호 ( 연세대학교교수 ) - 국제협력 안성수 ( 명지전문대학교수 ) - 교육 안춘기 ( 고려대학교교수 ) - 정보화 윤상민 ( 국민대학교교수 ) - 정보화 윤성로 ( 서울대학교교수 ) - 회원 이가원 ( 충남대학교교수 ) - 논문 이강윤 ( 성균관대학교교수 ) - 학술 ( 추계 ) 이동영 ( 명지전문대학교수 ) - 사업 이문구 ( 김포대학교교수 ) - 회원 / 정보화 이민영 ( 반도체산업협회본부장 ) - 산학연 이영주 ( 광운대학교교수 ) - 산학연 이채은 ( 인하대학교교수 ) - 학술 ( 추계 ) 임기택 ( 전자부품연구원센터장 ) - 사업 임동건 ( 한국교통대학교교수 ) - 사업 임재열 ( 한국기술교육대학교교수 ) - 학술 ( 하계 ) 장익준 ( 경희대학교교수 ) - 기획 전세영 (UNIST 교수 ) - 사업 정방철 ( 충남대학교교수 ) - 논문 정연호 ( 부경대학교교수 ) - ITC-CSCC 정원기 (UNIST 교수 ) - 사업 정윤호 ( 한국항공대학교교수 ) - 기획 차철웅 ( 전자부품연구원책임연구원 ) - 표준화 채관엽 ( 삼성전자박사 ) - 학술 ( 추계 ) 채영철 ( 연세대학교교수 ) - 총무 최용수 ( 성결대학교교수 ) - 논문 최윤경 ( 삼성전자마스터 ) - 산학연 한영선 ( 경일대학교교수 ) - 학술 ( 하계, 추계 )/SPC 한태희 ( 성균관대학교교수 ) - 교육 / 홍보 허재두 ( 한국전자통신연구원본부장 ) - ITC-CSCC 지부장명단 강원지부 임해진 ( 강원대학교교수 ) 광주 전남지부 이배호 ( 전남대학교교수 ) 대구 경북지부 박정일 ( 영남대학교교수 ) 대전 충남지부 주성순 ( 한국전자통신연구원박사 ) 부산 경남 울산지부 강대성 ( 동아대학교교수 ) 전북지부 송제호 ( 전북대학교교수 ) 제주지부 김경연 ( 제주대학교교수 ) 충북지부 최영규 ( 한국교통대학교교수 ) 호서지부 장은영 ( 공주대학교교수 ) 일 본 백인천 (AIZU대학교교수 ) 미 국 최명준 ( 텔레다인박사 ) 러시아지부 Prof. Edis B. TEN (National University of Science and Technology)

8 The Magazine of the IEIE 자문위원회 위원회명단 위 원 장 김영권 ( 명예회장 ) 부위원장 홍승홍 ( 명예회장 ) 위 원 고성제 ( 고려대학교교수 ) 구용서 ( 단국대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김성대 (KAIST 교수 ) 김수중 ( 명예회장 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 나정웅 ( 명예회장 ) 문영식 ( 한양대학교교수 ) 박규태 ( 명예회장 ) 박성한 ( 명예회장 ) 박진옥 ( 명예회장 ) 박항구 ( 소암시스텔회장 ) 서정욱 ( 명예회장 ) 성굉모 ( 서울대학교명예교수 ) 윤종용 ( 삼성전자상임고문 ) 이문기 ( 명예회장 ) 이상설 ( 명예회장 ) 이재홍 ( 서울대학교교수 ) 이진구 ( 동국대학교석좌교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 임제탁 ( 명예회장 ) 전국진 ( 서울대학교교수 ) 전홍태 ( 중앙대학교교수 ) 정정화 ( 한양대학교석좌교수 ) 기획위원회 위 원 장 이혁재 ( 서울대학교교수 ) 위 원 김지훈 ( 서울과학기술대학교교수 ) 김태원 ( 상지영서대학교교수 ) 안현식 ( 동명대학교교수 ) 이광엽 ( 서경대학교교수 ) 이상근 ( 중앙대학교교수 ) 이성수 ( 숭실대학교교수 ) 장익준 ( 경희대학교교수 ) 정윤호 ( 항공대학교교수 ) 학술연구위원회 위 원 장 전병우 ( 성균관대학교교수 ) - 하계김선욱 ( 고려대학교교수 ) - 추계 위 원 강문식 ( 강릉원주대학교교수 ) 공배선 ( 성균관대학교교수 ) 권기룡 ( 부경대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김상효 ( 성균관대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김소영 ( 성균관대학교교수 ) 김영로 ( 명지전문대학교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원하 ( 경희대학교교수 ) 김철우 ( 고려대학교교수 ) 김 현 ( 부천대학교교수 ) 남기창 ( 동국대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 변영재 (UNIST 교수 ) 서춘원 ( 김포대학교교수 ) 신지태 ( 성균관대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이상근 ( 중앙대학교교수 ) 이채은 ( 인하대학교교수 ) 이한호 ( 인하대학교교수 ) 인치호 ( 세명대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 정승원 ( 동국대학교교수 ) 채관엽 ( 삼성전자박사 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 한종기 ( 세종대학교교수 ) 황인철 ( 강원대학교교수 ) 논문편집위원회 위 원 장 김수환 ( 서울대학교교수 ) 이혁재 ( 서울대학교교수 ) 위 원 김수찬 ( 한경대학교교수 ) 박재형 ( 전남대학교교수 ) 선우경 ( 이화여자대학교교수 ) 심대용 (SK하이닉스상무 ) 심정연 ( 강남대학교교수 ) 안태원 ( 동양미래대학교교수 ) 유명식 ( 숭실대학교교수 ) 이가원 ( 충남대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이현중 ( 한국전자통신연구원박사 ) 정방철 ( 충남대학교교수 ) 정영모 ( 한성대학교교수 ) 최용수 ( 성결대학교교수 ) 한태희 ( 성균관대학교교수 ) 홍민철 ( 숭실대학교교수 ) 국제협력위원회 위 원 장 정종문 ( 연세대학교교수 ) 위 원 권기원 ( 성균관대학교교수 백상헌 ( 고려대학교교수 ) 송진호 ( 연세대학교교수 ) 심정연 ( 강남대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 조성현 ( 한양대학교교수 ) 산학연협동위원회 위 원 장 백광현 ( 중앙대학교교수 ) 위 원 고정환 ( 인하공업전문대학교수 ) 권혁인 ( 중앙대학교교수 ) 김경기 ( 대구대학교교수 ) 김용신 ( 고려대학교교수 ) 남병규 ( 충남대학교교수 ) 민경식 ( 국민대학교교수 ) 박주현 ( 픽셀플러스교수 ) 이광엽 ( 서경대학교교수 ) 이민영 ( 반도체산업협회본부장 ) 이석호 ( 한국전자통신연구원책임연구원 ) 이영주 ( 광운대학교교수 ) 이우주 ( 한국전자통신연구원책임연구원 ) 인치호 ( 세명대학교교수 ) 정방철 ( 충남대학교교수 ) 최윤경 ( 삼성전자마스터 ) 한태희 ( 성균관대학교교수 ) 회원관리위원회 위 원 장 박종일 ( 한양대학교교수 ) 위 원 김성호 ( 영남대학교교수 ) 김진상 ( 경희대학교교수 ) 김창수 ( 고려대학교교수 ) 박희민 ( 상명대학교교수 ) 유창식 ( 한양대학교교수 ) 윤성로 ( 서울대학교교수 ) 이문구 ( 김포대학교교수 ) 이상근 ( 중앙대학교교수 ) 최강선 ( 한국과학기술교육대학교교수 )

9 회지편집위원회 위 원 장 황인철 ( 강원대학교교수 ) 위 원 권구덕 ( 강원대학교교수 ) 김동규 ( 한양대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김 현 ( 부천대학교교수 ) 김호철 ( 을지대학교교수 ) 박종선 ( 고려대학교교수 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 심정연 ( 강남대학교교수 ) 여희주 ( 대진대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이찬수 ( 영남대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정승원 ( 동국대학교교수 ) 최용수 ( 성결대학교교수 ) 허 준 ( 고려대학교교수 ) 사업위원회 위 원 장 공준진 ( 삼성전자마스터 ) 범진욱 ( 서강대학교교수 ) 예종철 (KAIST 교수 ) 유창동 (KAIST 교수 ) 윤석현 ( 단국대학교교수 ) 위 원 권종기 ( 한국전자통신연구원책임연구원 ) 김 훈 (Synopsys Korea 이사 ) 김경기 ( 대구대학교교수 ) 김용훈 ( 옴니C&S 대표이사 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) 김현진 ( 단국대학교교수 ) 김형탁 ( 홍익대학교교수 ) 남대경 ( 전자부품연구원선임연구원 ) 류수정 ( 삼성전자 상무 ) 류현석 ( 삼성전자 마스터 ) 박성홍 (KAIST 교수 ) 변대석 ( 삼성전자 마스터 ) 송병철 ( 인하대학교교수 ) 이동영 ( 명지전문대학교수 ) 이영주 ( 광운대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 임동건 ( 한국교통대학교교수 ) 전세영 (UNIST 교수 ) 정원기 (UNIST 교수 ) 제민규 (KAIST 교수 ) 조상연 ( 삼성전자 상무 ) 최용근 ( 단국대학교교수 ) 한완옥 ( 여주대학교교수 ) 교육홍보위원회 위 원 장 강문식 ( 강릉원주대학교교수 ) 동성수 ( 용인송담대학교교수 ) 위 원 구자일 ( 인하공업전문대학교수 ) 박현창 ( 동국대학교교수 ) 성해경 ( 한양여자대학교교수 ) 송상헌 ( 중앙대학교교수 ) 안성수 ( 명지전문대학교수 ) 이윤식 (UNIST 교수 ) 이찬호 ( 숭실대학교교수 ) 한태희 ( 성균관대학교교수 표준화위원회 위 원 장 강성원 ( 한국전자통신연구원본부장 ) 위 원 구정래 ( 한국심사자격인증원팀장 ) 권기원 ( 성균관대학교교수 ) 김동규 ( 한양대학교교수 ) 김시호 ( 연세대학교교수 ) 김옥수 ( 인피니언코리아이사 ) 김원종 ( 한국전자통신연구원실장 ) 박기찬 ( 건국대학교교수 ) 박원규 ( 한국나노기술원본부장 ) 박주현 ( 픽셀플러스실장 ) 변지수 ( 경북대학교교수 ) 송영재 ( 성균관대학교교수 ) 연규봉 ( 자동차부품연구원센터장 ) 윤대원 ( 법무법인다래이사 ) 이민영 ( 한국반도체산업협회본부장 ) 이상근 ( 성균관대학교교수 ) 이상미 (IITP 팀장 ) 이서호 ( 한국기계전기전자시험연구원과장 ) 이성수 ( 숭실대학교교수 ) 이종묵 (SOL 대표 ) 이하진 ( 한국기초과학지원연구원책임연구원 ) 이해성 ( 전주대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 좌성훈 ( 서울과학기술대학교교수 ) 차철웅 ( 전자부품연구원책임연구원 ) 한태수 ( 국가기술표준원 / 디스플레이산업협회표준코디 ) 홍용택 ( 서울대학교교수 ) 정보화위원회 위 원 장 김종옥 ( 고려대학교교수 ) 위 원 강의성 ( 순천대학교교수 ) 김승구 ( 충북대학교교수 ) 김형탁 ( 홍익대학교교수 ) 안춘기 ( 고려대학교교수 ) 유윤섭 ( 한경대학교교수 ) 윤상민 ( 국민대학교교수 ) 이문구 ( 김포대학교교수 ) 이한호 ( 인하대학교교수 ) 조명진 ( 네이버박사 ) 최강선 ( 한국기술교육대학교교수 ) 한재호 ( 고려대학교교수 ) 지부담당위원회 위 원 장 이장명 ( 부산대학교교수 ) 위 원 강대성 ( 동아대학교교수 ) 김경연 ( 제주대학교교수 ) 박정일 ( 영남대학교교수 ) 백인천 (AIZU대학교교수 ) 송제호 ( 전북대학교교수 ) 이배호 ( 전남대학교교수 ) 임해진 ( 강원대학교교수 ) 장은영 ( 공주대학교교수 ) 주성순 ( 한국전자통신연구원박사 ) 최명준 ( 텔레다인박사 ) 최영규 ( 한국교통대학교교수 ) Prof. Edis B. TEN (National University of Science and Technology) 선거관리위원회 위 원 장 이진구 ( 명예회장 ) 위 원 김지훈 ( 서울과학기술대학교교수 ) 노원우 ( 연세대학교교수 ) 박종일 ( 한양대학교교수 ) 이충용 ( 연세대학교교수 ) 이혁재 ( 서울대학교교수 ) 전병우 ( 성균관대학교교수 )

10 The Magazine of the IEIE 포상위원회 위 원 장 고성제 ( 고려대학교교수 ) 위 원 김수환 ( 서울대학교교수 ) 노원우 ( 연세대학교교수 ) 백준기 ( 중앙대학교교수 ) 유창동 (KAIST 교수 ) 이충용 ( 연세대학교교수 ) 전병우 ( 성균관대학교교수 ) 재정위원회 위원장홍대식 ( 연세대학교교수 ) 위원고성제 ( 고려대학교교수 ) 문영식 ( 한양대학교교수 ) 박병국 ( 서울대학교교수 ) 백준기 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) 정준 ( 쏠리드대표이사 ) 정교일 ( 한국전자통신연구원책임연구원 ) 한대근 ( 실리콘웍스대표이사 ) 인사위원회 위 원 장 홍대식 ( 연세대학교교수 ) 위 원 노원우 ( 연세대학교교수 ) 백광현 ( 중앙대학교교수 ) 백준기 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) SPC 위원회 위 원 장 심동규 ( 광운대학교교수 ) 자문위원 조민호 ( 고려대학교교수 ) 김선욱 ( 고려대학교교수 ) 위 원 김정태 ( 이화여자대학교교수 ) 김태석 ( 광운대학교교수 ) 박철수 ( 광운대학교교수 ) 송병철 ( 인하대학교교수 ) 신원용 ( 단국대학교교수 ) 이상근 ( 중앙대학교교수 ) 이재훈 ( 고려대학교교수 ) 이채은 ( 인하대학교교수 ) 전병우 ( 성균관대학교교수 ) 정승원 ( 동국대학교교수 ) 조남익 ( 서울대학교교수 ) 조성현 ( 한양대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 한종기 ( 세종대학교교수 ) 황인철 ( 강원대학교교수 ) JSTS 위원회 위 원 장 Hoi-Jun Yoo (KAIST) 부위원장 Dim-Lee Kwong (Institute of Microelectronics) 위 원 Akira Matsuzawa (Tokyo Institute of Technology) Byeong-Gyu Nam (Chungnam National Univ.) Byung-Gook Park (Seoul National Univ.) Cary Y. Yang (Santa Clara Univ.) Chang sik Yoo (Hanyang Univ.) Chennupati Jagadish (Australian National Univ.) Deog-Kyoon Jeong (Seoul National Univ.) Dong S. Ha (Virginia Tech) Eun Sok Kim (USC) Gianaurelio Cuniberti (Dresden Univ. of Technology) Hi-Deok Lee (Chungnam Univ.) Hong June Park (POSTECH) Hyoung sub Kim (Sungkyunkwan Univ.) Hyun-Kyu Yu (ETRI) Jamal Deen (McMaster University, Canada) Jin wook Burm (Sogang Univ.) Jong-Uk Bu (Sen Plus) Jun young Park (UX Factory) Kofi Makinwa (Delft Univ. of Technology) Meyya Meyyappan (NASA Ames Research Center) Min-kyu Song (Dongguk Univ.) Moon-Ho Jo (POSTECH) Nobby Kobayashi (UC Santa Cruz) Paul D. Franzon (North Carolina State Univ.) Rino Choi (Inha Univ.) Sang-Hun Song (Chung-Ang Univ.) Sang-Sik Park (Sejong Iniv.) Seung-Hoon Lee (Sogang Univ.) Shen-Iuan Liu (National Taiwan Univ.) Shi ho Kim (Yonsei Univ.) Stephen A. Campbell (Univ. of Minnesota) Sung Woo Hwang (Korea Univ.) Tadahiro Kuroda (Keio Univ.) Tae-Song Kim (KIST) Tsu-Jae King Liu (UC Berkeley) Vojin G. Oklobdzija (Univ. of Texas at Dallas) Weileun Fang (National Tsing Hua Univ.) Woo geun Rhee (Tsinghua Univ.) Yang-Kyu Choi (KAIST) Yogesh B. Gianchandani (Univ. of Michigan, Ann Arbor) Yong-Bin Kim (Northeastern Univ.) Yuhua Cheng (Peking Univ.)

11 Society 명단 통신소사이어티 회 장 이흥노 ( 광주과학기술원교수 ) 부 회 장 윤석현 ( 단국대학교교수 ) 이인규 ( 고려대학교교수 ) 김재현 ( 아주대학교교수 ) 김선용 ( 건국대학교교수 ) 김진영 ( 광운대학교교수 ) 김 훈 ( 인천대학교교수 ) 유명식 ( 숭실대학교교수 ) 오정근 ( ATNS 대표이사 ) 협동부회장 김병남 ( 에이스테크놀로지연구소장 ) 김연은 ( 브로던대표이사 ) 김영한 ( 숭실대학교교수 ) 김용석 (( 주 ) 답스대표이사 ) 김인경 (LG 전자상무 ) 류승문 (( 사 ) 개인공간서비스협회수석부의장 ) 박용석 ( LICT 대표이사 ) 방승찬 ( 한국전자통신연구원부장 ) 연철흠 (LGT 상무 ) 이승호 ( 하이게인부사장 ) 이재훈 ( 유정시스템 대표이사 ) 정진섭 ( 이노와이어리스부사장 ) 정현규 ( 한국전자통신연구원부장 ) 이 사 김성훈 ( 한국전자통신연구원박사 ) 김정호 ( 이화여자대학교교수 ) 노윤섭 ( 한국전자통신연구원박사 ) 방성일 ( 단국대학교교수 ) 서철헌 ( 숭실대학교교수 ) 성원진 ( 서강대학교교수 ) 신요안 ( 숭실대학교교수 ) 윤종호 ( 한국항공대학교교수 ) 윤지훈 ( 서울과학기술대학교교수 ) 이재훈 ( 동국대학교교수 ) 이종창 ( 홍익대학교교수 ) 임종태 ( 홍익대학교교수 ) 장병수 ( 이노벨류네트웍스부사장 ) 조인호 ( 에이스테크놀로지박사 ) 최진식 ( 한양대학교교수 ) 최천원 ( 단국대학교교수 ) 허서원 ( 홍익대학교교수 ) 허 준 ( 고려대학교교수 ) 간 사 김중헌 ( 중앙대학교교수 ) 신오순 ( 숭실대학교교수 ) 감 사 이재진 ( 숭실대학교교수 ) 이호경 ( 홍익대학교교수 ) 연구회위원장 황승훈 ( 동국대학교교수 ) - 통신연구회 유태환 ( 한국전자통신연구원팀장 ) - 스위칭및라우팅연구회 조춘식 ( 한국항공대학교교수 ) - 마이크로파및전파전파연구회 이철기 ( 아주대학교교수 ) - ITS 연구회 김동규 ( 한양대학교교수 ) - 정보보안시스템연구회 김강욱 ( 경북대학교교수 ) - 군사전자연구회 허재두 ( 한국전자통신연구원본부장 ) - 무선 PAN/BAN연구회 김봉태 ( 한국전자통신연구원소장 ) - 미래네트워크연구회 반도체소사이어티 회 장 전영현 ( 삼성SDI 사장 ) 자문위원 임형규 (SK 부회장 ) 권오경 ( 한양대학교교수 ) 신윤승 ( 삼성전자고문 ) 신현철 ( 한양대학교교수 ) 우남성 ( 삼성전자사장 ) 선우명훈 ( 아주대학교교수 ) 수석부회장 조중휘 ( 인천대학교교수 ) 연구담당부회장조경순 ( 한국외국어대학교교수 ) 사업담당부회장김진상 ( 경희대학교교수 ) 학술담당부회장범진욱 ( 서강대학교교수 ) 총무이사 공준진 ( 삼성전자마스터 ) 김동규 ( 한양대학교교수 ) 박종선 ( 고려대학교교수 ) 이한호 ( 인하대학교교수 ) 편집이사 인치호 ( 세명대학교교수 ) 이희덕 ( 충남대학교교수 ) 한태희 ( 성균관대학교교수 ) 학술이사 강진구 ( 인하대학교교수 ) 김영환 ( 포항공과대학교수 ) 김재석 ( 연세대학교교수 ) 김철우 ( 고려대학교교수 ) 노정진 ( 한양대학교교수 ) 박성정 ( 건국대학교교수 ) 박홍준 ( 포항공과대학교수 ) 변영재 (UNIST 교수 ) 송민규 ( 동국대학교교수 ) 신현철 ( 광운대학교교수 ) 유창식 ( 한양대학교교수 ) 이혁재 ( 서울대학교교수 ) 전민용 ( 충남대학교교수 ) 정연모 ( 경희대학교교수 ) 정원영 ( 인제대학교교수 ) 정진균 ( 전북대학교교수 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 차호영 ( 홍익대학교교수 ) 최우영 ( 연세대학교교수 ) 사업이사 강성호 ( 연세대학교교수 ) 공배선 ( 성균관대학교교수 ) 권기원 ( 성균관대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김소영 ( 성균관대학교교수 ) 김시호 ( 연세대학교교수 ) 송용호 ( 한양대학교교수 ) 엄낙웅 ( 한국전자통신연구원소장 ) 윤광섭 ( 인하대학교교수 ) 조대형 ( 스위스로잔연방공대총장수석보좌관 ) 조상복 ( 울산대학교교수 ) 조태제 ( 삼성전기마스터 ) 최윤경 ( 삼성전자마스터 ) 최준림 ( 경북대학교교수 ) 산학이사 강태원 ( 넥셀사장 ) 김경수 ( 넥스트칩대표 ) 김달수 (TLI 대표 ) 김동현 (ICTK 사장 ) 김보은 ( 라온텍사장 ) 김준석 (ADT 사장 ) 변대석 ( 삼성전자마스터 ) 손보익 ( 실리콘웍스대표 ) 송태훈 ( 휴인스사장 ) 신용석 ( 케이던스코리아사장 ) 안흥식 (Xilinx Korea 지사장 ) 이도영 ( 옵토레인사장 ) 이서규 ( 픽셀플러스대표 ) 이윤종 ( 동부하이텍부사장 ) 이장규 ( 텔레칩스대표 ) 이종열 (FCI 부사장 ) 정해수 (Synopsys 사장 ) 최승종 (LG 전자전무 ) 허 염 ( 실리콘마이터스대표 ) 황규철 ( 삼성전자상무 ) 황정현 ( 아이닉스대표 ) 재무이사 김희석 ( 청주대학교교수 ) 임신일 ( 서경대학교교수 ) 회원이사 이광엽 ( 서경대학교교수 ) 최기영 ( 서울대학교교수 ) 감 사 김경기 ( 대구대학교교수 ) 최중호 ( 서울시립대학교교수 ) 간 사 강석형 (UNIST 교수 ) 김영민 ( 광운대학교교수 ) 김종선 ( 홍익대학교교수 ) 김형탁 ( 홍익대학교교수 ) 문 용 ( 숭실대학교교수 ) 백광현 ( 중앙대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이성수 ( 숭실대학교교수 ) 연구회위원장 차호영 ( 홍익대학교교수 ) - 반도체재료부품연구회 전민용 ( 충남대학교교수 ) - 광파및양자전자공학연구회 인치호 ( 세명대학교교수 ) - SoC설계연구회 유창식 ( 한양대학교교수 ) - RF집적회로연구회 정원영 ( 인제대학교교수 ) - PCB&Package연구회

12 The Magazine of the IEIE 컴퓨터소사이어티 회 장 김승천 ( 한성대학교교수 ) 명예회장 김형중 ( 고려대학교교수 ) 박인정 ( 단국대학교교수 ) 박춘명 ( 한국교통대학교교수 ) 신인철 ( 단국대학교교수 ) 안병구 ( 홍익대학교교수 ) 안현식 ( 동명대학교교수 ) 이규대 ( 공주대학교교수 ) 허 영 ( 한국전기연구원본부장 ) 홍유식 ( 상지대학교교수 ) 자문위원 남상엽 ( 국제대학교교수 ) 이강현 ( 조선대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 감 사 성해경 ( 한양여자대학교교수 ) 이문구 ( 김포대학교교수 ) 부 회 장 강문식 ( 강릉원주대학교교수 ) 김도현 ( 제주대학교교수 ) 윤은준 ( 경일대학교교수 ) 정용규 ( 을지대학교교수 ) 협동부회장 권호열 ( 강원대학교교수 ) 김영학 ( 한국산업기술평가관리원본부장 ) 조민호 ( 고려대학교교수 ) 최용수 ( 성결대학교교수 ) 총무이사 김진홍 ( 성균관대학교교수 ) 진 훈 ( 경기대학교교수 ) 황인정 ( 명지병원책임연구원 ) 재무이사 박수현 ( 국민대학교교수 ) 홍보이사 이덕기 ( 연암공과대학교교수 ) 편집이사 강병권 ( 순천향대학교교수 ) 기장근 ( 공주대학교교수 ) 변영재 (UNIST 교수 ) 심정연 ( 강남대학교교수 ) 이석환 ( 동명대학교교수 ) 정혜명 ( 김포대학교교수 ) 진성아 ( 성결대학교교수 ) 학술이사 강상욱 ( 상명대학교교수 ) 김선욱 ( 고려대학교교수 ) 김천식 ( 세종대학교교수 ) 노광현 ( 한성대학교교수 ) 우운택 (KAIST 교수 ) 이문구 ( 김포대학교교수 ) 이민호 ( 경북대학교교수 ) 이성로 ( 목포대학교교수 ) 이찬수 ( 영남대학교교수 ) 이후진 ( 한성대학교교수 ) 한규필 ( 금오공과대학교교수 ) 한태화 ( 연세대의료원연구팀장 ) 황성운 ( 홍익대학교교수 ) 사업이사 김홍균 ( 이화여자대학교교수 ) 박세환 ( 한국과학기술정보연구원전문연구위원 ) 박승창 ( 유오씨사장 ) 전병태 ( 한경대학교교수 ) 조병순 ( 시엔시인스트루먼트사장 ) 산학이사 김대휘 ( 한국정보통신대표이사 ) 노소영 ( 월송출판대표이사 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오승훈 (LG C&S 과장 ) 유성철 (LG 히다찌산학협력팀장 ) 조병영 ( 태진인포텍전무 ) 논문편집위원장 진 훈 ( 경기대학교교수 ) 연구회위원장 윤은준 ( 경일대학교교수 ) - 융합컴퓨팅연구회 이민호 ( 경북대학교교수 ) - 인공지능 / 신경망 / 퍼지연구회 강문식 ( 강릉원주대교수 ) - 멀티미디어연구회 진 훈 ( 경기대학교교수 ) - 유비쿼터스시스템연구회 김도현 ( 제주대학교교수 ) - M2M/IoT 연구회 우운택 (KAIST 교수 ) - 증강휴먼연구회 황성운 ( 홍익대학교교수 ) - 정보보안연구회 신호처리소사이어티 회 장 김정태 ( 이화여자대학교교수 ) 자문위원 김홍국 ( 광주과학기술원교수 ) 이영렬 ( 세종대학교교수 ) 전병우 ( 성균관대학교교수 ) 조남익 ( 서울대학교교수 ) 홍민철 ( 숭실대학교교수 ) 부 회 장 김문철 (KAIST 교수 ) 김창익 (KAIST 교수 ) 박종일 ( 한양대학교교수 ) 심동규 ( 광운대학교교수 ) 협동부회장 강동욱 ( 정보통신기술진흥센터 CP) 김진웅 ( 한국전자통신연구원그룹장 ) 백준기 ( 중앙대학교교수 ) 변혜란 ( 연세대학교교수 ) 신원호 (LG 전자상무 ) 양인환 (TI Korea 이사 ) 오은미 ( 삼성전자마스터 ) 이병욱 ( 이화여자대학교교수 ) 지인호 ( 홍익대학교교수 ) 최병호 ( 전자부품연구원센터장 ) 이 사 강현수 ( 충북대학교교수 ) 권기룡 ( 부경대학교교수 ) 김남수 ( 서울대학교교수 ) 김창수 ( 고려대학교교수 ) 김해광 ( 세종대학교교수 ) 박구만 ( 서울과학기술대학교교수 ) 박인규 ( 인하대학교교수 ) 서정일 ( 한국전자통신연구원선임연구원 ) 신지태 ( 성균관대학교교수 ) 엄일규 ( 부산대학교교수 ) 유양모 ( 서강대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상윤 ( 연세대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 장길진 ( 경북대학교교수 ) 장준혁 ( 한양대학교교수 ) 한종기 ( 세종대학교교수 ) 협동이사 권구락 ( 조선대학교교수 ) 김기백 ( 숭실대학교교수 ) 김상효 ( 성균관대학교교수 ) 김용환 ( 전자부품연구원선임연구원 ) 김재곤 ( 한국항공대학교교수 ) 박현진 ( 성균관대학교교수 ) 박호종 ( 광운대학교교수 ) 서영호 ( 광운대학교교수 ) 신재섭 ( 픽스트리대표이사 ) 신종원 ( 광주과학기술원교수 ) 양현종 (UNIST 교수 ) 이기승 ( 건국대학교교수 ) 이종설 ( 전자부품연구원책임연구원 ) 임재윤 ( 제주대학교교수 ) 장세진 ( 전자부품연구원센터장 ) 최강선 ( 한국기술교육대학교교수 ) 최승호 ( 서울과학기술대학교교수 ) 홍성훈 ( 전남대학교교수 ) 강상원 ( 한양대학교교수 ) 김응규 ( 한밭대학교교수 ) 최준원 ( 한양대학교교수 ) 구형일 ( 아주대학교교수 ) 박상윤 ( 명지대학교교수 ) 김기백 ( 숭실대학교교수 ) 강제원 ( 이화여자대학교교수 ) 이상철 ( 인하대학교교수 ) 전세영 (UNIST 교수 ) 감 사 김원하 ( 경희대학교교수 ) 최해철 ( 한밭대학교교수 ) 총무간사 허용석 ( 아주대학교교수 ) 연구회위원장 김무영 ( 세종대학교교수 ) - 음향및신호처리연구회 송병철 ( 인하대학교교수 ) - 영상신호처리연구회 이찬수 ( 영남대학교교수 ) - 영상이해연구회 예종철 (KAIST 교수 ) - 바이오영상신호처리연구회

13 시스템및제어소사이어티 회 장 정길도 ( 전북대학교교수 ) 부 회 장 김영철 ( 군산대학교교수 ) 이경중 ( 연세대학교교수 ) 유정봉 ( 공주대학교교수 ) 주영복 ( 한국기술교육대학교교수 ) 감 사 김영진 ( 생산기술연구원박사 ) 남기창 ( 동국대학교교수 ) 총무이사 권종원 ( 한국산업기술시험원선임연구원 ) 김용태 ( 한경대학교교수 ) 재무이사 김준식 (KIST 박사 ) 최영진 ( 한양대학교교수 ) 학술이사 김용권 ( 건양대학교교수 ) 서성규 ( 고려대학교교수 ) 편집이사 남기창 ( 동국대학교교수 ) 이수열 ( 경희대학교교수 ) 기획이사 김수찬 ( 한경대학교교수 ) 이덕진 ( 군산대학교교수 ) 최현택 ( 한국해양과학기술원책임연구원 ) 사업이사 고낙용 ( 조선대학교교수 ) 양연모 ( 금오공과대학교교수 ) 이석재 ( 대구보건대학교교수 ) 산학연이사 강대희 ( 유도 박사 ) 조영조 ( 한국전자통신연구원박사 ) 홍보이사 김호철 ( 을지대학교교수 ) 박재병 ( 전북대학교교수 ) 여희주 ( 대진대학교교수 ) 회원이사 문정호 ( 강릉원주대학교교수 ) 변영재 (UNIST 교수 ) 이학성 ( 세종대학교교수 ) 자문위원 김덕원 ( 연세대학교교수 ) 김희식 ( 서울시립대학교교수 ) 박종국 ( 경희대학교교수 ) 서일홍 ( 한양대학교교수 ) 오상록 (KIST 분원장 ) 오승록 ( 단국대학교교수 ) 연구회위원장 오창현 ( 고려대학교교수 ) 허경무 ( 단국대학교교수 ) 한수희 (POSTECH 교수 ) - 제어계측연구회이성준 ( 한양대학교교수 ) - 회로및시스템연구회남기창 ( 동국대학교교수 ) - 의용전자및생체공학연구회김규식 ( 서울시립대학교교수 ) - 전력전자연구회김영철 ( 군산대학교교수 ) - 지능로봇연구회전순용 ( 동양대학교교수 ) - 국방정보및제어연구회이덕진 ( 군산대학교교수 ) - 자동차전자연구회오창현 ( 고려대학교교수 ) - 의료영상시스템연구회권종원 ( 한국산업기술시험원선임연구원 ) - 스마트팩토리연구회 산업전자소사이어티 회 장 원영진 ( 부천대학교교수 ) 명예회장 강창수 ( 유한대학교교수 ) 남상엽 ( 국제대학교교수 ) 윤기방 ( 인천대학교교수 ) 이상회 ( 동서울대학교교수 ) 이원석 ( 동양미래대학교교수 ) 자문위원 김용민 ( 충청대학교교수 ) 김종부 ( 인덕대학교교수 ) 윤한오 ( 동국대학교교수 ) 이병선 ( 김포대학교교수 ) 이상준 ( 수원과학대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 최영일 ( 조선이공대학교총장 ) 부 회 장 김태원 ( 상지영서대학교교수 ) 동성수 ( 용인송담대학교교수 ) 이용구 ( 한림성심대학교교수 ) 한완옥 ( 여주대학교교수 ) 감 사 김영선 ( 대림대학교교수 ) 김영로 ( 명지전문대학교수 ) 협동부회장 강현웅 ( 핸즈온테크놀로지대표 ) 김응연 ( 인터그래텍대표 ) 김진선 ( 청파이엠티대표 ) 김창일 ( 아이지대표 ) 김태형 ( 하이버스대표 ) 박용후 ( 이디엔지니어링대표 ) 박현찬 ( 나인플러스EDA 대표 ) 성재용 ( 오픈링크시스템대표 ) 송광헌 ( 복두전자대표 ) 이영준 ( 비츠로시스본부장 ) 장 철 (LG 히타찌전무 ) 진수춘 ( 한백전자대표 ) 한성준 ( 아이티센부사장 ) 상임이사 고정환 ( 인하공업전문대학교수 ) 구자일 ( 인하공업전문대학교수 ) 김 현 ( 부천대학교교수 ) 서춘원 ( 김포대학교교수 ) 안성수 ( 명지전문대학교수 ) 안태원 ( 동양미래대학교교수 ) 이동영 ( 명지전문대학교수 ) 재무이사 강민구 ( 경기과학기술대학교교수 ) 강희훈 ( 여주대학교교수 ) 곽칠성 ( 재능대학교교수 ) 김경복 ( 경복대학교교수 ) 김태용 ( 구미대학교교수 ) 문현욱 ( 동원대학교교수 ) 윤중현 ( 조선이공대학교교수 ) 이종하 ( 전주비전대학교교수 ) 이태동 ( 국제대학교교수 ) 주진화 ( 오산대학교교수 ) 학술이사 김덕수 ( 동양미래대학교교수 ) 김용중 ( 폴리텍원주교수 ) 김종오 ( 동양미래대학교교수 ) 성해경 ( 한양여자대학교교수 ) 송도선 ( 우송정보대학교교수 ) 엄우용 ( 인하공업전문대학교수 ) 이영종 ( 여주대학교교수 ) 이영진 ( 을지대학교교수 ) 이종용 ( 광운대학교교수 ) 장경배 ( 고려사이버대학교교수 ) 정경권 ( 동신대학교교수 ) 사업이사 김상범 ( 폴리텍인천교수 ) 김영우 ( 두원공과대학교교수 ) 김윤석 ( 상지영서대학교교수 ) 박진홍 ( 혜전대학교교수 ) 방극준 ( 인덕대학교교수 ) 변상준 ( 대덕대학교교수 ) 심완보 ( 충청대학교교수 ) 오태명 ( 명지전문대학교수 ) 이 철 ( 인하공업전문대학교수 ) 장성석 ( 영진전문대학교수 ) 최의선 ( 폴리텍아산교수 ) 산학연이사 김은원 ( 대림대학교교수 ) 서병석 ( 상지영서대학교교수 ) 성홍석 ( 부천대학교교수 ) 우찬일 ( 서일대학교교수 ) 원우연 ( 폴리텍춘천교수 ) 이규희 ( 상지영서대학교교수 ) 이시현 ( 동서울대학교수 ) 이정석 ( 인하공업전문대학교수 ) 이종성 ( 부천대학교교수 ) 장기동 ( 동양미래대학교수 ) 정환익 ( 경복대학교교수 ) 최홍주 ( 상지영서대학교교수 ) 협동이사 강현석 ( 로보웰코리아대표 ) 김민준 ( 베리타스부장 ) 김세종 (SJ정보통신부사장 ) 김연길 ( 대보정보통신본부장 ) 김태웅 ( 윕스부장 ) 남승우 ( 상학당대표 ) 박정민 ( 오므론과장 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오재곤 ( 세인부사장 ) 유성철 (LG 히다찌본부장 ) 유제욱 ( 한빛미디어부장 ) 이진우 ( 글로벌이링크대표 ) 전한수 ( 세림TNS 이사 ) 조규남 ( 로봇신문사대표 ) 조병영 ( 태진인포텍전무 ) 조한일 ( 투데이게이트이사 )

14 The Magazine of the IEIE 제 21 대평의원명단 강대성 ( 동아대학교교수 ) 강문식 ( 강릉원주대학교교수 ) 강봉순 ( 동아대학교교수 ) 강성원 ( 한국전자통신연구원부장 ) 강창수 ( 유한대학교교수 ) 고성제 ( 고려대학교교수 ) 고요환 (( 주 ) 매그나칩반도체전무 ) 고윤호 ( 충남대학교교수 ) 고정환 ( 인하공업전문대학교수 ) 고현석 ( 한국전자통신연구원선임연구원 ) 공배선 ( 성균관대학교교수 ) 공준진 ( 삼성전자마스터 ) 구용서 ( 단국대학교교수 ) 구자일 ( 인하공업전문대학교수 ) 권기룡 ( 부경대학교교수 ) 권기원 ( 성균관대학교교수 ) 권오경 ( 한양대학교교수 ) 권종기 ( 한국전자통신연구원책임연구원 ) 권종원 ( 한국산업기술시험원선임연구원 ) 권혁인 ( 중앙대학교교수 ) 권호열 ( 강원대학교교수 ) 김강욱 ( 경북대학교교수 ) 김경기 ( 대구대학교교수 ) 김경연 ( 제주대학교교수 ) 김규식 ( 서울시립대학교교수 ) 김기남 ( 삼성전자사장 ) 김기호 ( 삼성전자부사장 ) 김남용 ( 강원대학교교수 ) 김달수 ( 티엘아이대표이사 ) 김대환 ( 국민대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김도현 ( 제주대학교교수 ) 김동규 ( 한양대학교교수 ) 김동순 ( 전자부품연구원박사 ) 김동식 ( 인하공업전문대학교수 ) 김동식 ( 한국외국어대학교교수 ) 김무영 ( 세종대학교교수 ) 김봉태 ( 한국전자통신연구원소장 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원실장 ) 김상효 ( 성균관대학교교수 ) 김선용 ( 건국대학교교수 ) 김선욱 ( 고려대학교교수 ) 김선일 ( 한양대학교교수 ) 김성대 (KAIST 교수 ) 김성진 ( 경남대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김소영 ( 성균관대학교교수 ) 김수원 ( 고려대학교교수 ) 김수중 ( 명예회장 ) 김수찬 ( 한경대학교교수 ) 김수환 ( 서울대학교교수 ) 김승천 ( 한성대학교교수 ) 김시호 ( 연세대학교교수 ) 김영권 ( 명예회장 ) 김영로 ( 명지전문대학교수 ) 김영선 ( 대림대학교교수 ) 김영철 ( 군산대학교교수 ) 김영환 ( 포항공과대학교교수 ) 김용민 ( 충청대학교교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원종 ( 한국전자통신연구원팀장 ) 김원하 ( 경희대학교교수 ) 김윤희 ( 경희대학교교수 ) 김재석 ( 연세대학교교수 ) 김재현 ( 아주대학교교수 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 김정태 ( 이화여자대학교교수 ) 김정호 ( 이화여자대학교교수 ) 김종대 ( 한국전자통신연구원연구위원 ) 김종옥 ( 고려대학교교수 ) 김준모 ( 한국과학기술원교수 ) 김지훈 ( 서울과학기술대학교교수 ) 김진영 ( 광운대학교교수 ) 김창수 ( 고려대학교교수 ) 김창용 ( 삼성전자 DMC 연구소장 ) 김창익 ( 한국과학기술원교수 ) 김철동 (( 주 ) 세원텔레텍대표이사 ) 김철우 ( 고려대학교교수 ) 김태욱 ( 연세대학교교수 ) 김태원 ( 상지영서대학교교수 ) 김 현 ( 부천대학교교수 ) 김현수 ( 삼성전자상무 ) 김형탁 ( 홍익대학교교수 ) 김홍국 ( 광주과학기술원교수 ) 김 훈 ( 인천대학교교수 ) 김희석 ( 청주대학교교수 ) 김희식 ( 서울시립대학교교수 ) 나정웅 ( 명예회장 ) 남기창 ( 동국대학교교수 ) 남상엽 ( 국제대학교교수 ) 남상욱 ( 서울대학교교수 ) 노원우 ( 연세대학교교수 ) 노정진 ( 한양대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 동성수 ( 용인송담대학교교수 ) 류수정 ( 삼성전자상무 ) 문영식 ( 한양대학교교수 ) 문 용 ( 숭실대학교교수 ) 민경식 ( 국민대학교교수 ) 박광로 ( 한국전자통신연구원책임연구원 ) 박광석 ( 서울대학교교수 ) 박규태 ( 명예회장 ) 박동일 ( 현대자동차 전무 ) 박래홍 ( 서강대학교교수 ) 박병국 ( 서울대학교교수 ) 박성욱 (SK하이닉스부회장 ) 박성한 ( 명예회장 ) 박수현 ( 국민대학교교수 ) 박인규 ( 인하대학교교수 ) 박정일 ( 영남대학교교수 ) 박종일 ( 한양대학교교수 ) 박주현 ( 영남대학교교수 ) 박진옥 ( 명예회장 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박춘명 ( 한국교통대학교교수 ) 박항구 ( 명예회장 ) 박현욱 ( 한국과학기술원교수 ) 박현창 ( 동국대학교교수 ) 박형무 ( 동국대학교교수 ) 박홍준 ( 포항공과대학교교수 ) 방성일 ( 단국대학교교수 ) 백광현 ( 중앙대학교교수 ) 백만기 ( 김 & 장법률사무소변리사 ) 백준기 ( 중앙대학교교수 ) 백흥기 ( 전북대학교교수 ) 범진욱 ( 서강대학교교수 ) 변영재 ( 울산과학기술대학교교수 ) 서승우 ( 서울대학교교수 ) 서정욱 ( 명예회장 ) 서철헌 ( 숭실대학교교수 ) 서춘원 ( 김포대학교교수 ) 선우명훈 ( 아주대학교교수 ) 성굉모 ( 명예회장 ) 성하경 ( 전자부품연구원선임연구본부장 ) 성해경 ( 한양여자대학교교수 ) 손광준 ( 한국산업기술평가관리원 PD) 손광훈 ( 연세대학교교수 ) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 송상헌 ( 중앙대학교교수 ) 송용호 ( 한양대학교교수 ) 송제호 ( 전북대학교교수 ) 송창현 ( 네이버 CTO) 신오순 ( 숭실대학교교수 ) 신요안 ( 숭실대학교교수 ) 신지태 ( 성균관대학교교수 ) 신현철 ( 한양대학교교수 ) 신현철 ( 광운대학교교수 ) 심대용 (SK하이닉스상무 ) 심동규 ( 광운대학교교수 ) 심정연 ( 강남대학교교수 ) 안길초 ( 서강대학교교수 ) 안병구 ( 홍익대학교교수 ) 안성수 ( 명지전문대학교수 ) 안승권 (LG 전자사장 ) 안태원 ( 동양미래대학교교수 ) 안현식 ( 동명대학교교수 ) 양일석 ( 한국전자통신연구원책임연구원 ) 엄낙웅 ( 한국전자통신연구원소장 ) 엄일규 ( 부산대학교교수 ) 연규봉 ( 자동차부품연구원센터장 ) 예종철 ( 한국과학기술원교수 ) 오상록 ( 한국과학기술연구원분원장 ) 오성목 (KT 사장 ) 오승록 ( 단국대학교교수 ) 오창현 ( 고려대학교교수 ) 우남성 (( 전 ) 삼성전자사장 ) 우운택 ( 한국과학기술원교수 ) 원영진 ( 부천대학교교수 ) 유경식 ( 한국과학기술원교수 ) 유명식 ( 숭실대학교교수 ) 유윤섭 ( 한경대학교교수 ) 유정봉 ( 공주대학교교수 ) 유창동 ( 한국과학기술원교수 ) 유창식 ( 한양대학교교수 ) 유태환 ( 한국전자통신연구원책임연구원 ) 유현규 ( 한국전자통신연구원책임연구원 )

15 유회준 ( 한국과학기술원교수 ) 윤기방 ( 인천대학교교수 ) 윤석현 ( 단국대학교교수 ) 윤성로 ( 서울대학교교수 ) 윤영권 ( 삼성전자마스터 ) 윤은준 ( 경일대학교교수 ) 윤일구 ( 연세대학교교수 ) 윤종용 ( 삼성전자비상임고문 ) 윤지훈 ( 서울과학기술대학교교수 ) 이가원 ( 충남대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이경중 ( 연세대학교교수 ) 이광엽 ( 서경대학교교수 ) 이규대 ( 공주대학교교수 ) 이문구 ( 김포대학교교수 ) 이문기 ( 명예회장 ) 이민호 ( 경북대학교교수 ) 이배호 ( 전남대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이병선 ( 김포대학교교수 ) 이병욱 ( 이화여자대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상설 ( 명예회장 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이상훈 ( 한국전자통신연구원원장 ) 이성수 ( 숭실대학교교수 ) 이성준 ( 한양대학교교수 ) 이승호 ( 한밭대학교교수 ) 이승훈 ( 서강대학교교수 ) 이영렬 ( 세종대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이원석 ( 동양미래대학교교수 ) 이윤식 ( 울산과학기술대학교교수 ) 이윤종 (( 주 ) 동부하이텍부사장 ) 이인규 ( 고려대학교교수 ) 이장명 ( 부산대학교교수 ) 이재진 ( 숭실대학교교수 ) 이재홍 ( 서울대학교교수 ) 이종호A ( 서울대학교교수 ) 이종호B ( 서울대학교교수 ) 이진구 ( 명예회장 ) 이찬수 ( 영남대학교교수 ) 이찬호 ( 숭실대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 이채은 ( 인하대학교교수 ) 이천희 (( 전 ) 청주대학교교수 ) 이충용 ( 연세대학교교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 이필중 ( 포항공과대학교교수 ) 이한호 ( 인하대학교교수 ) 이혁재 ( 서울대학교교수 ) 이현중 ( 한국전자통신연구원박사 ) 이호경 ( 홍익대학교교수 ) 이흥노 ( 광주과학기술원교수 ) 이희국 ( LG 상근고문 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 임신일 ( 서경대학교교수 ) 임제탁 ( 명예회장 ) 임해진 ( 강원대학교교수 ) 임혜숙 ( 이화여자대학교교수 ) 장은영 ( 공주대학교교수 ) 장태규 ( 중앙대학교교수 ) 전국진 ( 서울대학교교수 ) 전민용 ( 충남대학교교수 ) 전병우 ( 성균관대학교교수 ) 전순용 ( 동양대학교교수 ) 전영현 ( 삼성전자사장 ) 전홍태 ( 중앙대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 정길도 ( 전북대학교교수 ) 정성욱 ( 연세대학교교수 ) 정승원 ( 동국대학교교수 ) 정영모 ( 한성대학교교수 ) 정원영 ( 인제대학교교수 ) 정윤호 ( 한국항공대학교교수 ) 정은승 ( 삼성전자부사장 ) 정의영 ( 연세대학교교수 ) 정정화 ( 명예회장 ) 정종문 ( 연세대학교교수 ) 정 준 ( 쏠리드대표이사 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 제민규 ( 한국과학기술원교수 ) 조경순 ( 한국외국어대학교교수 ) 조남익 ( 서울대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 조명진 ( 네이버박사 ) 조민호 ( 고려대학교교수 ) 조상복 ( 울산대학교교수 ) 조성현 ( 한양대학교교수 ) 조성환 ( 한국과학기술원교수 ) 조영조 ( 한국전자통신연구원책임연구원 ) 조중휘 ( 인천대학교교수 ) 조춘식 ( 한국항공대학교교수 ) 주성순 ( 한국전자통신연구원박사 ) 주영복 ( 한국기술교육대학교교수 ) 진 훈 ( 경기대학교교수 ) 차호영 ( 홍익대학교교수 ) 천경준 ( 씨젠회장 ) 최강선 ( 한국기술교육대학교교수 ) 최기영 ( 서울대학교교수 ) 최병덕 ( 한양대학교교수 ) 최승원 ( 한양대학교교수 ) 최승종 (LG 전자전무 ) 최영규 ( 한국교통대학교교수 ) 최용수 ( 성결대학교교수 ) 최우영 ( 서강대학교교수 ) 최윤식 ( 연세대학교교수 ) 최준림 ( 경북대학교교수 ) 최중호 ( 서울시립대학교교수 ) 최진성 (SK텔레콤전무 ) 최천원 ( 단국대학교교수 ) 한대근 (( 전 ) 실리콘웍스대표이사 ) 한동석 ( 경북대학교교수 ) 한수희 ( 포항공과대학교교수 ) 한영선 ( 경일대학교교수 ) 한완옥 ( 여주대학교교수 ) 한재호 ( 고려대학교교수 ) 한종기 ( 세종대학교교수 ) 한태희 ( 성균관대학교교수 ) 함철희 ( 삼성전자마스터 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 허재두 ( 한국전자통신연구원본부장 ) 허 준 ( 고려대학교교수 ) 호요성 ( 광주과학기술원교수 ) 홍국태 (LG 전자연구위원 ) 홍대식 ( 연세대학교교수 ) 홍민철 ( 숭실대학교교수 ) 홍승홍 ( 명예회장 ) 홍용택 ( 서울대학교교수 ) 홍유식 ( 가톨릭상지대학교교수 ) 황성운 ( 홍익대학교교수 ) 황승구 ( 한국전자통신연구원소장 ) 황승훈 ( 동국대학교교수 ) 황인철 ( 강원대학교교수 ) 사무국직원명단 송기원국장 - 대외업무, 업무총괄, 기획, 자문, 산학연, 선거이안순부장 - 국내학술, 총무, 포상, 임원관련, 컴퓨터소사이어티배지영차장 - 국제학술, 국문논문, JSTS 영문지, 시스템및제어소사이어티배기동차장 - 사업, 표준화, 용역, 반도체소사이어티변은정차장 - 재무 ( 본회 / 소사이어티 / 연구회 ), 학회지, 산업전자소사이어티김천일과장 - 회원, 정보화, 홍보 / 교육, 통신소사이어티장다희서기 - 국제학술, 국제업무, SPC, 신호처리소사이어티

16 2017년하계종합학술대회우리학회모든소사이어티가함께참여하는하계종합학술대회 ( 위원장 : 전병우교수 ( 성균관대 )) 가 6월 29일 ( 목 ) ~ 7월 1일 ( 토 ) 까지부산해운대그랜드호텔에서개최되었다. 이번학술대회는 스마트 ICT 기술이만들어가는 4차산업혁명 이라는테마를선정하고그에따른튜토리얼, 특별세션, 초청발표등을구성하여배움의즐거움과만남의반가움이있는학술대회로다양한프로그램과새로운시도로약 600여편의논문이발표되었고, 부대행사참가자를포함하여 1,000여명이참석하였다. 첫날 6월 29일 ( 목 ) 에는한국산업기술평가관리원의산업핵심기술개발사업학회연계과제워크샵과 Welcome Reception이진행되었으며, 6월 30일 ( 금 ) 에는일반, 특별세션및초청발표논문과 Tutorial 진행을비롯하여개회식에는홍대식학회장의환영사와 KT 오성목사장의 Keynote가발표되었다. 이틀째연이어서한국산업기술평가관리원의산업핵심기술개발사업과제워크샵이진행되었으며, 이후우리학회와미국 IEEE가공동시상하는 IT Young Engineer 시상식, Conference Banquet, 관련산업체들의취업상담이이루어졌으며마 지막날인 7월 1일 ( 토 ) 에는예년과다르게폐회식을개최하여학술대회기간중선정된우수학생논문상시상과아울러학생참가자대상경품추첨도진행되었다. 이번학술대회와부대행사를통해다양한기관과분야에서연구하는회원들이그동안의연구결과를발표하고토론하는과정에서학술적. 기술적정보가교류되어한국의전자 IT 학문및산업발전에직 간접적으로기여할수있었다. 개회식-홍대식회장인사말 Welcome Reception Banquet 506 _ The Magazine of the IEIE 12

17 News 로봇경진대회 폐회식참가자기념사진 ITC-CSCC 2017 한국산업기술평가관리원학회연계과제워크샵 구두발표 ITC-CSCC는 1986년부터매년개최하는국제학술대회로서 32주년을맞은금년에는 7월 2일 ( 일 ) ~ 7월 5일 ( 수 ) 까지부산해운대그랜드호텔에서 6개국약 280편의논문이발표된가운데개최되었으며, General Chair로는임혜숙교수 ( 이화여대 ), TPC Chair로는정종문교수 ( 연세대 ), Organizing Chair로는윤일구교수 ( 연세대 ) 가참여하였다. 첫째날인 7월 2일에개최된 Welcome Reception에는각국참가자약 80명이참가하여서로인사및담소를나누는시간을가졌으며, 둘째날인 7월 3일개회식에서는 General Chair 인사말및 TPC Chair의학회현황보고에이어 SK Hynix의이석희사장과 Tokyo Metropolitan University의 Takao Nishitani 교수의 Plenary Talk이진행되었다. 오후에는 Tokyo University of Agriculture and Technology 의 Toshihisa Tanaka교수와 SK Hynix의임의철박사의튜토리얼이진행되었다. 또한한국, 일본, 태국조직위원등으로구성된 ICC 회의를통해 ITC-CSCC 주요현안을논의하였고, 저녁에는 VIP 만찬이있었다. 셋째날인 7월 4일오전에는태국 Asian University의 Danai Torrungrueng 교수의 Plenary Talk이진행되었고, 오후에는고려대학교김창수교수의튜토리얼이진행되었다. 저녁에진행된 Banquet 에서는홍대식학회장인사말, 금년도학술대회결과발표및조직위원소개, 2016년도우수논문상시상, 전국진학회명예회장및일본 Isao Shirakawa 명예회장의건배제안, 공연및내년도학술대회소개등으로진행되었다. 국내및일본, 태국등 350명이상참가하여 32주년을맞은 ITC- CSCC 2017 행사를더욱빛내주었으며, 아시아태평양지역의전자및 IT 분야의학문및기술교류의의미있는장이될수있었다. 포스터발표 13 전자공학회지 _ 507

18 Welcome Reception ICC 회의 개회식 임혜숙대회장인사말 Banquet 홍대식회장인사말 Plenary Talk SK Hynix 이석희사장 2016 년학술대회우수논문상시상 Plenary Talk Tokyo Metropolitan University Takao Nishitani 교수 조직위원및참가자기념촬영 508 _ The Magazine of the IEIE 14

19 News AWAD 2017 국제학술대회반도체재료및부품연구회 ( 학술대회조직위원장 : 차호영교수 ( 홍익대 )) 에서는 AWAD 2017 국제학술대회 를 7월 3일 ( 월 ) ~ 5일 ( 수 ) 까지경주현대호텔에서개최하였다. 금년도학술대회발표는총 12개세션, 포스터세션과 3편의 Keynote 강연으로총구두 45편, 포스터 57편등이발표되었다. 참석인원은약 170여명이참석하였다. 산업전자소사이어티하계기술교육세미나 / IT 융합및스마트로봇경진대회개최 산업전자소사이어티 ( 회장 : 원영진교수 ( 부천대 )) 에서는 하계기술교육세미나 / IT융합및스마트로봇경진대회 를 7월 7일 ( 금 ) ~ 8일 ( 토 ) 양일간에걸쳐부천대학교한길아트홀에서개최하였다. 이번행사에서는 IT관련학과학생들이 IT융합과스마트로봇에서필요로하는지식중창의적공학설계및마이크로프로세서프로그램설계의전체과정을일괄적으로이해하는능력을측정하여우수자를선발하는전국규모의경진대회로총 263명이참석하여우수자를선발하였다. 스마트로봇경진대회평가모습 스마트로봇경진대회단체사진 제 3 회대한전자공학회바이오영상신호처리연구회여름학교 경진대회참가자모습 사업위원회와바이오영상신호처리연구회 ( 위원장 : 예종철교수 (KAIST)) 가공동개최로 7월 10일 ( 월 ) 제3회대한전자공학회바이오영상신호처리연구회여름학교 를연세대학교백양누리에서개최하였다. 본여름학교에서는고부가가치창출이가능한고정밀전자공학분야 스마트로봇경진대회참가자모습 제 3 회대한전자공학회바이오영상신호처리연구회여름학교 15 전자공학회지 _ 509

20 로서시대적요구에부합하고국내의료영상분야의발전을도모하기위하여, 인공지능이의료영상분의의미래를어떻게변화시킬것인가에대한자리를전자공학회본회사업위원회와공동기획하였다. 특히 Imaging Summit 이라는타이틀을걸고, 바이오의료영상및인공지능기반컴퓨터비젼분야의세계적인석학들을모시고바이오의료영상분야의 Michael Unser, Jeff Fessler, Georges El Fakhri 교수와인공지능기반컴퓨터비젼분야의 Yi Ma 교수의기조강연과, 국내의료영상및컴퓨터비젼연구를선도하고있는조장희교수, 서진근교수및이경무교수의기조강연과국내외석학들의패널토론을통해인공지능시대에있어서의료영상분야가나아가야할방향을제시하였다. 이번여름학교에는 140명이참석하였다. 오류정정부호기술워크샵반도체소사이어티 ( 회장 : 전영현사장 ( 삼성SDI)) 에서는 7월 20일 ( 목 ) 경희대학교국제캠퍼스에서 오류정정부호기술워크샵 이개최되었다. 워크샵에서는 5G 무선통신, 메모리스토리지및모바일스토리지시스템에서사용될수있는고성능오류정정부호기술과알고리즘 / 아키텍처설계기술을소개하였다. 본워크샵이학교, 연구소및산업체에서개발하는오류정정부호알고리즘및아키텍처설계관련원천기술개발과기업의상용화기술개발에많은도움이되기를기대하며, 이를통한협력과발전관계가마련되기를기대하는자리가되었으며, 50명이참석하였다 년 SoC 설계연구회워크숍 SoC설계연구회 ( 위원장 : 인치호교수 ( 세명대 )) 에서는 2017년도 SoC설계연구회워크숍 을 7월 13일 ( 목 ) ~ 14일 ( 금 ) 2일간웰리힐리리조트실버홀 ( 강원도횡성소재 ) 에서개최하였다. 이번워크샵에서는주요테마로 4차산업혁명을위한 SoC기술 ( 인공지능, 자율주행자동차, IoT를중심으로 ) 에관해서산학연이함께모여서논의하고토의하는자리로마련되었다. 프로그램은첫째 1부는 SoC설계연구회의내적성장을위한프로그램으로서 SoC설계연구회의미래를맡으실젊은교수님들의연구내용발표로구성되었고, 2부와 3부는 SoC설계연구회의외적성장을위한프로그램으로서국책 R&D 발전전략, 팹리스기업의위기와기회에대한제안과토론이이루어지는등깊은자리가되었다. 오류정정부호기술워크샵 2017 년 SoC 설계연구회워크숍 510 _ The Magazine of the IEIE 16

21 News 신규회원가입현황 (2017년 6월 5일 년 7월 17일 ) 정회원 강명곤 ( 한국교통대학교 ), 강제원 ( 이화여자대학교 ), 김대오 ( 한국항공우주연구원 ), 김상균 ( 경북대학교 ), 문성원 ( 한국전자통신연구원 ), 박용문 ( 위드시스템 ( 주 )), 신병철 ( 한전전력연구원 ), 신지태 ( 성균관대학교 ), 윤진철 ( 라이프시맨틱스 ), 이기백 ( 서울아산병원 ), 이대희 ( 한국섬유기계연구원 ), 이우주 ( 한국전자통신연구원 ), 이지원 ( 한국전자통신연구원 ), 이한림 ( 중앙대학교 ), 이해동 ( 한국전자통신연구원 ), 임창훈 ( 건국대학교 ), 장진규 ( 강릉원주대학교 ), 정강모 ( 군산대학교 ), 정예찬 ( 전자부품연구원 ), 조성원 ( 삼성전자 ), 조성현 ( 디지스트 ), 최수형 ( 위드시스템 ), 한인수 ( 한국철도기술연구원철도안전인증연구소 ), 한철 ( 고려대학교 ) ( 아주대학교 ), 이재원 ( 서울과학기술대학교 ), 이재훈 ( 한양대학교 ), 이창조 ( 한국외국어대학교 ), 임영훈 ( 중앙대학교 ), 임홍준 ( 성균관대학교 ), 임황용 ( 한성대학교, ( 주 ) 에스제이테크 ), 장현규 (UST 과학기술연합대학원대학교 ), 전상일 ( 한양대학교 ), 정주성 ( 연세대학교 ), 정진혁 ( 한국산업기술대학교 ), 조영란 ( 중앙대학교첨단영상대학원 ), 조용범 ( 고려대학교 ), 차은주 (KAIST), 채승호 ( 연세대학교 ), 천지현 ( 성균관대학교 ), 최동영 ( 광운대학교 ), 팜민티엔 ( 숭실대학교 ), JOELLE( 숙명여자대학교 ) 이상 74명 이상 24 명 평생회원김윤 ( 부산대학교 ), 정윤영 ( 포항공과대학교 ) 이상 2 명 학생회원강운성 ( 단국대학교 ), 구정우 ( 고려대학교 ), 김관태 ( 성균관대학교 ), 김균하 ( 광운대학교 ), 김기덕 ( 성균관대학교 ), 김남균 ( 세종대학교 ), 김동규 ( 단국대학교 ), 김동우 ( 포항공과대학교 ), 김동현 ( 고려대학교 ), 김만수 ( 성균관대학교 ), 김상근 (UST 과학기술연합대학원대학교 ), 김원기 ( 연세대학교 ), 김원진 ( 광운대학교 ), 김재산 ( 성균관대학교 ), 김정규 ( 연세대학교 ), 김준성 ( 연세대학교 ), 김찬식 ( 동국대학교 ), 김찬호 ( 성균관대학교 ), 김태규 ( 서울대학교 ), 김한배 ( 건국대학교 ), 김현우 ( 아주대학교 ), 김효찬 ( 성균관대학교 ), 남진솔 ( 서울과학기술대학교 ), 문호상 ( 한국산업기술대학교 ), 민선종 ( 연세대학교 ), 박상혁 ( 성균관대학교 ), 박성호 ( 동국대학교 ), 박우진 ( 울산과학기술원 ), 박종률 ( 성균관대학교 ), 박종혁 ( 연세대학교 ), 박종훈 ( 한양대학교 ), 변정우 ( 한양대학교 ), 손성진 ( 성균관대학교 ), 송성규 ( 울산과학기술원 ), 송태균 ( 단국대학교 ), 신우호 ( 단국대학교 ), 신중철 ( 중앙대학교첨단영상대학원 ), 신지훈 ( 한국항공대학교 ), 심규동 ( 한양대학교 ), 심재준 ( 한양대학교 ), 양윤식 ( 연세대학교 ), 여병철 ( 연세대학교, 한국과학기술연구원 (KIST)), 우현준 ( 세종대학교 ), 원지혜 ( 성균관대학교 ), 유승수 ( 고려대학교 ), 윤용욱 ( 한국항공대학교 ), 윤평원 ( 호서대학교 ), 이건원 ( 세종대학교 ), 이관호 ( 한국교통대학교 ), 이다원 ( 광운대학교 ), 이다진 ( 성균관대학교 ), 이동헌 ( 연세대학교 ), 이본영 ( 울산과학기술원 ), 이상일 ( 서경대학교 ), 이선규 ( 단국대학교 ), 이성환 17 전자공학회지 _ 511

22 학회일지 The Institute of Electronics and Information Engineers 2017년 6월 16일 ~ 2017년 7월 20일 1. 회의개최 회의명칭일시장소주요안건 교육홍보위원회 6.19 (17:00) 학회회의실 - IT 창의챌린지개최논의외 ICEIC 2018 운영위원회 3 차회의 6.27 (7:30) 중앙대학교 - 조직위원구성및세션구성논의외 2. 행사개최 행사명칭일시장소주관 하계종합학술대회 6.29~7.1 부산해운대그랜드호텔학술위원회 ITC-CSCC ~7.5 부산해운대그랜드호텔국제협력위원회 AWAD 2017 국제학술대회 7.3~5 경주현대호텔반도체재료및부품연구회 산업전자소사이어티하계기술교육세미나 / IT 융합및스마트로봇경진대회 7.7~7.8 부천대학교산업전자소사이어티 제 3 회대한전자공학회바이오영상신호처리연구회여름학교 7.10 연세대학교 사업위원회바이오영상신호처리연구회 2017 년 SoC 설계연구회워크숍 7.13~14 웰리힐리리조트 SoC 설계연구회 오류정정부호기술워크샵 7.20 경희대학교국제캠퍼스반도체소사이어티 512 _ The Magazine of the IEIE 18

23 특 집 편 집 기 최신무선통신기술및표준화동향 현대에는다양한무선통신규 격이존재할뿐만아니라사용되고있는무선통신기기의숫자역시기하급수적으로증가하고있다. 따라서미래의무선통신기기는이러한다양한무선통신기술과표준화가경쟁력을결정이승호편집위원하는핵심요소가되고있다. 본 ( 국립한밭대학교 ) 특집호는이러한무선통신기술과표준화동향에관하여학계및산업계전문가들의논문 6편으로구성되었다. 첫째, 차세대이동통신시스템에서의위치측위기술및표준화동향 ( 이웅희외 ) 에서는네트워크기반측위관련기술및표준화동향을살펴보고, 고정밀위치측위를위해서해결되어야할과제에대해고찰해보았다. 둘째, IMT G 서비스용후보대역결정을위한공유연구동향 ( 조한신 ) 은 WRC 의제 1.13을중심으로한 IMT2020 5G 서비스용후보대역결정을위한공유연구동향및새로운기술적사항등을소개하였다. 셋째, p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 ( 백승환외 ) 에서는 WAVE 물리계층의신뢰성향상을위해개발되어온다양한 WAVE 채널추정 기법들의현재와미래에대하여논의한다. 또한향후진화된형태의 WAVE시스템에적용될수있는고성능채널추정기법들을제안하고그가능성을살펴보았다. 넷째, RF 무선전력전송기술과관련무선통신연구동향 ( 이경재외 ) 에서는무선전력전송기술의최근개발동향과문제점및극복방향을분석하고, 주로이론적으로관심이집중되었던무선전력전송과결합된무선통신연구의최신흐름을소개하였다. 다섯째, 하이브리드인쇄전자기술을이용한고주파무선전력수확기 ( 김상길 ) 에서는반영구적차세대통신및센서플랫폼의실현을위한기반융합기술의하나인하이브리드인쇄전자기술과무선전력수확기술의융합에관해설명하였다. 끝으로, 인지무선및고속의신호정보수집을위한채널화한수신기기술 ( 김주성 ) 에서는인지무선통신의기반요소기술은초고속의광대역스펙트럼분석및감시송수신기구조기술에대해설명하였다. 바쁜일정중에본특집호를위하여옥고를보내주신집필진여러분께감사드리며, 본특집호가최신무선통신기술및표준화동향에관심을가진기업전문가, 교수님, 학생들의교류와협력을위한새로운계기가되어우리나라무선통신기술및표준화동향의발전과경쟁력강화에기여할수있기를기대한다. 19 전자공학회지 _ 513

24 특집 차세대이동통신시스템에서의위치측위기술및표준화동향 차세대이동통신 시스템에서의위치측위기술 및표준화동향 Ⅰ. 서론 이웅희 LG전자차세대표준연구소변일무 LG전자차세대표준연구소 위치기반서비스는학계및각종산업계에서차세대이동통신시스템의필수서비스로대두되고있다. 다양한이동통신서비스에있어서위치기반서비스에대한수요는꾸준히증가하며측위기술의위치정확도와신뢰도에대한중요성또한꾸준히높아지고있는현실이다. 위치기반서비스의대표적인애플리케이션으로는응급구조및무인차량등을들수있다. 이러한위치기반서비스를효율적으로운용하기위해서는위치정보의획득이필수적이며대표적인방법에는 GPS (Global Positioning System) 인공위성을이용하는방법, 셀룰러이동통신환경을이용하는방법, 무선랜등고정된물체에대한근접성을이용하는방법등이있다. GPS를활용한위치측위기법은정확도면에서셀룰러이동통신환경 ( 예를들면, LTE 시스템 ) 을이용한기법보다정확하지만, GPS 기법은 GPS의위성신호가수신되지않는곳이나신호의감쇠가큰경우에는사용할수없으며에너지소모가극심하다는단점이있다. 한편 GPS 단절지역이라볼수있는실내환경을위한위치측위의경우, 무선랜의신호세기정보를활용해위치정보를제공하는측위기법은기존의무선인터넷인프라를활용할수있는장점이있지만무선랜이설치되지않은지역에서는사용할수없고핑거프린팅방식등을활용할경우어마어마한양의위치정보데이터베이스를따로구축해야한다는단점이있다. 반면셀룰러이동통신환경을이용하는방법은보다넓은구역을커버할수있고이미도심환경에설치되어있는기지국을통한통신망을이용하여별도의추가적인장비가필요없는장점이있다. 셀룰러이동통신환경을사용해서위치를결정하는기법은이미잘갖추어진인프라를이용할수있고우리생활의대부분의지역에서통신이 514 _ The Magazine of the IEIE 20

25 차세대이동통신시스템에서의위치측위기술및표준화동향 가능하듯이, 이를활용하여고정밀위치측위또한가능할것으로전망된다. 만약셀룰러이동통신시스템이지금보다더넓은적용범위와더넓은시스템대역폭을통한높은위치해상도가보장된다면더욱더폭넓은위치기반서비스가가능할것임을예상할수있다. 하지만, 셀룰러이동통신환경을이용한무선위치측위에는다음과같은문제점들이존재한다. 먼저, 셀룰러이동통신환경은그특성상주위에많은주파수재사용에의한간섭신호들이공존하기때문에, 타시스템에비해신호복효율이낮아지고위치추정에어려움을겪게된다. 게다가전파의특성에따라전파전달과정에서전파세기감쇄와전파지연이발생한다. 따라서감쇄로인해전파세기에대한신뢰도가떨어지고전파지연으로인해도달시간에대한신뢰도가떨어지는단점이존재한다. 특히전파가거의빛의속도로전달되기때문에, 시간차이에서거리차이를계산하는데에있어그거리값이매우커져서위치측위도출에대한해상도가낮아진다. 이러한문제점은 LOS (Lineof-Sight) 가상대적으로덜확보되는실내에서는더욱극심히나타난다. 전파전달문제외에또다른문제는기존이동통신환경에서음영지역을해소하기위해중계기를다수설치하는데, 이러한중계기의전달로인해신호전달타이밍이맞지않게되고, 수신전력세기를이용한송신점까지의거리산출에대한오차가누적되어더욱오차가커지게된다. 이와같은문제에도불구하고셀룰러이동통신환경을이용한측위기법에관심을갖는이유는, 이미많은셀룰러용기지국이구축되어있어위치측위를위한별도의추가비용이필요없으며기본적으로양방향통신을지원하기때문에위치정보를이용한각종서비스에강점을보이기때문이다. 또한이동통신시스템이점점광대역화되어칩속도가높아짐에따라거리추정에대한해상도가높아질여지가있다. 이와같은고찰을바탕으로본논문에서는네트워크기반측위관련기술및표준화동향을살펴보고, 고정밀위치측위를가능하게하기위해서해결되어야할과제에대해고찰해보도록한다. Ⅱ. 본론 1. 위치측위기술 가. 위치측위를위한수학적알고리즘 (1) 삼각측량법삼각측량방법은송신신호의주파수, 신호강도, 네트워크 MAC 주소및실제기지국액세스포인트좌표와같은셀룰러네트워크의매개변수를사용한다. 이동장치신호강도에의해수신된신호는액세스포인트와이동장치간의거리추정에사용될수있다. (Ranging 기법에따라전파전송시간혹은전파전송시간차등이자유롭게사용될수있다.) 이방법을사용하기위해서는절대위치를미리알고있는 3 개이상의액세스포인트가필요하다. 만약수신신호세기기반으로 ranging을수행할경우, 이포인트의신호강도는송신기와수신기사이의거리와랜덤노이즈팩터에따라기하급수적으로감소한다. 따라서이의존성은거리의함수로간주될수있다. 신호강도로추정된거리는액세스포인트주변의반경을가진원으로표시가가능하다. 3 개의액세스포인트반경의교차점은수신기의한지점또는한영역을제공하며, 그지점또는영역이타겟노드의위치를나타내게된다. 하지만, 수신신호에끼게되는랜덤노이즈성분때문에해당반지름을가진원으로표시하는데에무리가있으며, 이를반영하기위해링형태의원으로표시할수밖에없다. 이로인해위치측위에대한불확정성이생기게되고, 이는삼각측량법의큰한계점이된다. 이러한한계점을극복하기위해수신신호기반이아닌전파전송시간을활용하는방법도존재하지만, 이역시전파의대역폭에반비례하는 ranging 오차를야기할수밖에없는현실이다. (2) MDS: Multi-Dimensional Scaling 통신네트워크에서노드의지리적위치를파악하기위해모든노드에 GPS 수신기또는기타정교한센서를추가하는것은비용이많이들수있다. MDS는통신범위내에있는연결정보를사용하여네트워크의노드위치를 21 전자공학회지 _ 515

26 이웅희, 변일무 도출하는알고리즘이다. 이방법은이웃들간의추정된거리또는특정앵커노드에대한알려진위치 ( 사용가능한경우 ) 와같은추가정보를이용할수있습니다. 이알고리즘은잘알려진다차원스케일링을기반으로한다. 이방법은 SVD (Singular Value Decomposition) 연산을필요로하기때문에, O(n3) 시간이걸리는데이터분석기술이다. 노드가평면전체에걸쳐비교적균일하게위치할때더욱뛰어난성능을보인다. 또한이전방법보다훨씬적은수의앵커노드를사용하여비교가능한결과를얻을수있으며앵커노드를사용할수없는경우에도상대좌표를산출해낼수있다. 본 MDS 기법에는세단계가있다. 주어진네트워크연결정보로시작하여, 가능한한쌍의노드사이의거리를대략적으로추정하기위해모든쌍최단경로알고리즘을사용한다. 그런다음수학적기법인 MDS를사용하여추정된거리를나타내는노드위치를유도해낸다. 마지막으로, 결과좌표를정규화하여위치가알려진노드를고려한다. 이간단한기술은공간상에타겟노드가밀집되어있는경우삼각측량법보다훨씬우수한성능을낼수있다. 또한의미있는결과를내기위해서는노드간연결정보만을필요로한다. 이웃노드사이의거리를추정할수있다면그정보는알고리즘의첫번째단계에서노드간최단경로계산에쉽게통합될수있습니다. 일차적인작업을통해 MDS는임의의회전및평행이동에놓인좌표를산출해낸다. 그후, 미리알고있는노드들의좌표를활용하여알려진위치에가장잘일치하는 MDS 좌표의완전한변환을유도하는데사용할수있다. 네트워크의모든노드에대해절대위치를제공하는데필요한앵커노드는세개뿐 (2차원평면의경우 ) 임이큰장점으로작용한다. (3) dw-mds: Distributed Weighted MDS 수신신호세기와노드간연결정보를사용하는무선위치측위기술중에서 dw-mds 알고리즘은모든쌍방향거리측정을기반으로한 MDS 알고리즘에비해계산복잡도와위치추정오차를줄이는잘알려진방법이다. dw-mds는원홉커버리지에서거리측정을사용하 고보다정확하게가중치를부여하는거리측정을허용하는가중비용함수와관련된 SMACOF (the scaling by majorizing a complicated function) 함수알고리즘을표준화하여크기조정을반복적으로해결한다. dw-mds 는분산방식에도불구하고국지적위치오차측면에서기존 MDS보다우수한성능을보여준다. 나. 대표상용기술 (1) GNSS와 A-GNSS GNSS (Global Navigation Satellite Systems) 는위성신호의수동수신을사용하여수신기의위치, 속도및시간을해결하는위치확인시스템이며, 이에셀룰러네트워크정보를결합한형태를 A-GNSS (Assisted GNSS) 라한다. 이기술들은수신기가신호의도달시간을사용하여거리를계산하므로 LOS 조건을필요로한다. 따라서 3D 위치수정을위해최소한 4 개의위성의명확한가시성이필요하다. 위성위치, 전파지연 ( 대기효과 ) 및도플러효과를알고있어야한다. GNSS 신호는낮은대역폭 ( 따라서다중경로해상도가낮다 ) 으로인해다중경로오류의영향을받기쉽고밀도가높은도시시나리오에서는문제가될수있다. GNSS 기반기술의장점은저비용이며, 절대위치면에서가장정확하고정확하다는것이다. 반면, 가장큰단점은간섭에대한신호의취약성이다. 아울러, 높은전력소모를필요로하기때문에적은양의배터리를탑재한센서로이루어진특정애플리케이션에서문제가될수있다. (2) E-CID: Enhanced Cell ID E-CID는단말의위치측위를위해다양한측정을필요로한다. 도래각 (AoA: angle of arrival) 경로손실모델을통한수신신호강도 (RSSPLM: received signal strength & pathloss model) 타이밍진행유형 1 (TADV1) 또는유형 2 (TADV2) AoA는다중안테나시스템을필요로한다. 추정된각도의양자화및다중경로에러로인해기준기지국으로부터의더먼거리에대해서는위치정확도가낮다. 516 _ The Magazine of the IEIE 22

27 차세대이동통신시스템에서의위치측위기술및표준화동향 RSSPLM은전파모델자체가얼마나정확한지에달려있다. 두측정신호는다중경로에의한오류의영향을받기쉽다. 두가지방법을결합하여, 단일기지국은단말위치의추정치를제공할수있다. 위의두측정신호의단순성은대부분의시스템이여전히이두가지만을사용하는이유이다 ( 이것은정확도가낮은 IoT 요구사항에이점이될수있음 ). 또한, 시스템이 RSS를주기적으로추적하기때문에추가비용이나에너지소비가 RSSPLM 측정에필요로하지않는다. AoA 배치는다중안테나시스템으로인해더많은비용이들수있지만 massive MIMO 등을고려한다면큰문제가될것은없다. RSSPLM은 AoA에비해간섭에조금더민감하다. TADV1은왕복시간측정이고, 상향및하향링크에대한 LOS 조건및대칭전파채널은거리를적절하게추정하기위해필요한가정이다. TADV2는동일한양을측정하지만단말및기지국동기화를시간및위상에서사용한다. (TDD: Time Division Duplex) 가구현되어있는 LTE에적용가능 ). 따라서단일업링크프레임에서이동시간을계산할수있다. 또한 TADV1 및 TADV2 양은하드웨어시간소인에따라정확한범위를제공한다. TADV1 및 TADV2는 AoA 및 RSSPLM과비교하여 RF 체인의하드웨어전파지연오류 ( 아래텍스트에서자세히설명 ) 에대해매우민감하다. 또한두타임스탬프절차의해상도는두개의 LTE 기본시간단위 (Ts) 로제한됩니다. Ts는 20MHz 대역폭의경우약 32.6 나노초와같다. 타임스탬프분해능은샘플링주파수와관련이있으며, 이것은널리알려진 Nyquist-Shannon 정리를통한시스템의대역폭에비례한다. 원칙적으로두신호사이의타이밍오프를하나의샘플보다작게해결할수는없다 ( 가장간단한예는교차상관함수이며피크는샘플의두신호의시간차를나타낸다 ). (3) OTDoA: Observed TDoA 와 UTDoA: Uplink TDoA 두가지방법은위치신호사이의기준신호시간차 (RSTD: Received Signal Time Difference) 를측정하 는것과동일한원리, 즉 OTDoA의위치결정기준신호 (PRS: Positioning Reference Signal) 와 UTDoA의사운딩기준신호 (SRS: Sounding Reference Signal) 를사용한다. UTDoA의가장큰단점은 OTDoA에비해위치서비스가필요한장치의수와전력제한을전송하기때문에여러기지국이단말을청취하는것이어려울수있다. OTDoA 추정신호의장점은캐리어집합 (CA: Carrier Aggregation) 방식 ( 다운링크채널이더많은대역폭을얻음 ) 을사용하여더많은대역폭을쉽게할당할수있다는것이다. O/UTDoA는 Ts의절반 ( 즉, LTE 의경우 16.3 나노초 ) 의최대해상도를가진다. 두방식모두 LOS 조건, 잘정의된타임스탬핑레퍼런스, 위치측위프로세스에참여한동기화된 BS ( 즉, 순간위상오프셋에대한완벽한정보 ) 를가정한다. 기지국간의순간오프셋은 X2 인터페이스를통해검색할수있지만타이밍오류를유발하는가변네트워크대기시간으로인해충분하지않다. 따라서매우우수한동기화가필수요건이된다. OTDoA 및 UTDoA 모두 RF 체인의전력증폭으로인한전파지연변동성으로인해레인지오류가높아질수있다. E-CID 측정신호와비교할때 SRS 및 PRS 신호가더많은대역폭을사용하므로 O/UTDoA는더많은리소스를소비한다. 2. 위치측위표준화동향 3GPP 표준화에서는 5G 통신시스템 (New Radio 통신시스템 ) 의신규서비스에따라위치측위의적용시나리오와그에따른요구사항을정리하였다. 위치측위를적용하는시나리오에는대표적으로 5G V2X, UAV(Unmanned Aeriel Vehicle), miot 시나리오가있다. 가. 5G V2X [4-5] V2X 시나리오는고속이동시나리오와저속이동시나리오로나눌수있다. 고속이동시나리오는차량이실외에있는경우를가정한다. 대표적으로는차량 A와 B가시내교차로에서서로다가오는경우가있다. 차량 A와 B는대략적인위치정보를 V2X통신을통해교환함으로써사전에충돌의위험을감지할수있다. 차량들은교차로 23 전자공학회지 _ 517

28 이웅희, 변일무 에도달하기전에 100ms단위로 1m이내의위치측위정확도를갖는정보를 V2V통신을통해서교환한다. 이를통해차량의속도가 60km/h인경우교차로에서 1초정도의시간차 (17m정도의거리차 ) 를두고교차로를통과할수있다. 만약, 차량의이동속도가 200km/h와같이높은경우에는보다짧은주기로위치정보를교환하는것이필요하다. 저속이동시나리오는차량이실외와실내에있는경우를모두포함한다. 차량이쇼핑몰등의주차장에진입할때, 네트워크를통해 1m이내의정확도의위치정ㅂ를통해주차정보를제공받을수있다. 또한, 운전자가주차된차의위치를찾고자하는경우위치정보를통해주차된차의위치를정확히파악할수있다. 나. UAV [4] UAV가배송을위해이용되는경우에정확한위치정보를활용할수있다. 또한, 응급상황에서사람이신속하게도달하기어려운경우에 UAV가먼저도달하여사건발생지역의영상정보를전송하고응급물품을배송하기이해서위치측위를활용할수있다. 또한 UAV가팀을이루어서모니터링, 센싱등을수행할때위치측위정보가활용될수있다. 다. miot [4] 창고, 배송시스템, 물류관리시에위치정보가활용될수있다. 각아이템에는저복잡저전력센서가부착된다. 각센서는아이템부착시수동또는자동으로활성화되며, 각센서는상향링크를통해위치정보등을송신하고하향링크를통해 ACK 등의정보를수신하므로, 해당시나리오에서는상향링크통신이주가된다. 상기와같은시나리오를위해서 3GPP TR22.862에서는 5G NR 시스템이 80% 이상의상황에서 3m이하의위치측위정확도를제공해야하고, 위치정보를요청한단말에게 10초이내에위치정보를제공할수있어야한다고잠재요구조건을설정하였다 [4]. 또한, 차세대고정밀위치측위는 95% 이상의서비스지역에서 1m이내의정확도를요구할것이라고예측하였다. < 그림 1> LTE와 5G NR의위치측위정확도와반응시간비교 < 그림 1> 은 5G NR이목표로한위치측위정확도와반응속도를 LTE의위치측위정확도와반응속도를비교한그림이다. < 그림 1> 를통해 5G의서비스들이전반적으로 LTE대비높은정밀도의위치측위를요구함을알수있다. 그러나반응속도에있어서는서비스마다차이가발생한다. miot의경우에는물류관리가주된목표이므로빠른반응속도는요구하지않는반면, 고속주행자동차의경우에는빠른반응속도를요구한다. < 그림 1> 의 LTE 하향링크 OTDOA는위치측위전용참조신호를이용해위치를측정한다. 위치측위참조신호는기존의 cell-specific reference signal만을이용한위치측위로는한계가있어서도입되었다. 단말은서로다른기지국으로부터수신한위치측위참조신호의도착시간차를이용해서위치측위를수행하므로, 위치측위참조신호의전송주기에따라서위치측위의반응속도가변화한다. 3GPP TS36.211에서가장짧은위치측위참조신호전송주기는 160ms이고가장긴전송주기는 1280ms로보여지며 [6], 이를적절히활용하여타겟시스템의목적에알맞는위치측위참조신호를적응적으로활용할수있다. Ⅲ. 결론 셀룰러이동통신환경을이용한위치측위기법들은 GPS 단절환경은물론, 생활의거의모든곳을커버할수있고별도의추가적인장비가필요없는장점이있다. 이러한장점을바탕으로다양한고정밀위치측위기술들 518 _ The Magazine of the IEIE 24

29 차세대이동통신시스템에서의위치측위기술및표준화동향 이개발되고있으며차세대이동통신시스템의새로운요구조건으로대두되고있다. 아울러점점밀집되어가는셀룰러이동통신환경을고려할때, 단말간의협력적통신은기지국-단말링크에의존한위치측위기법들보다우수한성능을보일수있다. 또한, 정확한사용자위치측위는단순위치기반서비스를제공해줄뿐만아니라통상적인데이터통신서비스 ( 예를들면 MIMO 빔포밍등과같은무선자원관리기법 ) 에도새로운기회를열어줄것으로예상된다. 참고문헌 [1] S. X. Wang and A. M. Taratorin, Magnetic Information Storage Technology, Academic Press, 1999, ch. 12. [2] B. Jeon and J. Jeong, Blocking artifacts reduction in image compression with block boundary discontinuity criterion, IEEE Trans. Circuits and Systems for Video Tech., Vol. 8, no. 3, pp , June [3] W. G. Jeon and Y. S. Cho, An equalization technique for OFDM and MC-CDMA in a multipath fading channels, in Proc. of IEEE Conf. on Acoustics, Speech and Signal Processing, pp , Munich, Germany, May [4] 3GPP TR , Feasibility Study on New Services and Markets Technology Enablers for critical communications, 3rd Generation Partnership Project, V pp. 1-31, September, [5] 3GPP TR , Study on enhancement of 3GPP Support for 5G V2X Services, 3rd Generation Partnership Project, V15.1.0, pp. 1-58, March [6] 3GPP TS , Physical channels and modulation, V14.0.0, September, 이웅희 2009 년 KAIST 전기및전자공학과학사졸업 2017 년서울대학교전기및정보공학석박통합과정졸업 2017 년 ~ 현재 LG 전자 CTO 차세대표준연구소 < 관심분야 > 5G 통신시스템, 무선자원관리, 무선위치측위 변일무 2005 년연세대학교전기전자공학과학사졸업 2007 년연세대학교전자공학과석사졸업 2010 년 University of Texas at Austin 방문연구원 2013 년연세대학교전기전자공학과박사졸업 2013 년 ~ 현재 LG 전자 CTO 차세대표준연구소 < 관심분야 > 5G 통신시스템, 채널코딩, 저지연고신뢰통신 25 전자공학회지 _ 519

30 특집 IMT G 서비스용후보대역결정을위한공유연구동향 IMT G 서비스용 후보대역결정을위한 공유연구동향 Ⅰ. 서론 조한신 한밭대학교전자 제어공학과 2015년세계전파통신회의 (WRC-15) 는 24.25~86GHz에서 5G 주파수지정을위한 WRC-19 의제 (1.13) 를채택하고후보대역으로서 GHz, GHz, GHz GHz, GHz, GHz, GHz, GHz, GHz, 66-76GHz, 81-86GHz 등총 11개대역을후보대역으로선정하였다 [1]. ITU는의제1.13의추진을위해 2015년 12 월 ITU-R SG5 산하에 IMT 후보대역과타업무간공유연구를위한 Task Group 5/1 (TG 5/1) 을신설하였다 [2]. < 표 1> 에서확인할수있듯이해당후보대역별로매우다양한서비스가복잡하게할당되어있다. 따라서기존에 ITU에서진행되었던공유연구에비해의제 1.13 은매우복잡하고다양한공유시나리오에대한연구가요구되고있는상황이다. 현재한국 ITU 연구위원회산하 WP 5D 작업반과 TG 5/1 작업반은의제1.13을대응하기위한연구를진행하고있다 [3]. 작업반은전파연구원을중심으로 40 여명의관련산 학 연의표준전문가들로구성되어있다. WP 5D 작업반은 5G 비전, 기술성능요구사항, 평가방법론표준화, IMT 주파수표준화 (6GHz 이하및이상 ), IMT 기술을활용한응용서비스보고서 (PPDR, IoT 등 ), 3G(IMT-2000), 4G(IMT- Advanced) 기술진화표준화등의업무를담당하고있다. TG 5/1 작업반은의제 1.13의공유연구및 CPM 테스트작성관련업무를진행하고있다. 본고에서는의제 1.13을중심으로한 IMT G 서비스용후보대역결정을위한공유연구동향및기술적사항등에대해고찰하고자한다. 2장에서는의제 1.13 공유연구에관련된작업반의진행상황및 520 _ The Magazine of the IEIE 26

31 IMT G 서비스용후보대역결정을위한공유연구동향 < 표 1> WRC-19 의제 1.13 후보대역및국제주파수할당현황 주파수대역 국제주파수할당 GHz FS, FSS, RNS (Regions 2 and 3) GHz FS, RNS, SRS 37 40GHz FS, FSS, Mobile, EESS (secondary) GHz and GHz GHz GHz GHz 66 71GHz FS, FSS, Mobile (secondary) Broadcasting satellite, Broadcasting Amateur, Amateur satellite FS, FSS, Mobile FS, FSS, Mobile Mobile, MSS, RNS, Radio navigation satellite FS, FSS, Mobile, MSS 81 86GHz FS, FSS, Mobile, MSS, Radio astronomy SRS (Space research service, 우주연구 ), EESS (Earth exploration satellite service, 지구탐사 ), RNS (Radio-navigation service, 무선항행 ), FSS (Fixed satellite service, 고정위성서비스 ), MSS (Mobile satellite service, 이동위성서비스 ), FS (Fixed service, 고정서비스 ), ISS( Inter-satellite Service, 위성간서비스 ) Region 1 : 유럽, 아프리카, Region 2: 북 / 남아메리카, Region 3 : 아시아, 오세아니아 향후일정을설명한다. 3장에서는 ITU-R WP 5D에서새롭게제안한공유및양립성연구를위한 IMT 모델링기법에대해설명한다. 4장에서는공유연구를위한 ITU 전파전파모델에대해설명한다. 5장에서는대표적인공유시나리오및간섭계산방법에대해설명한다. Ⅱ. ITU 진행상황및향후일정 < 그림 1> 은의제1.13 공유연구와관련된작업반별진행상황및향후일정을나타낸다. 2016년 10월 25차 WP 5D회의에서주파수공유및양립성연구를위한 IMT 모델링및시스템을정의하는권고안 ITU-R M.2101 [4] 를 완성하였다. 그리고 2017년 2월 26차 WP 5D회의에서공유연구를위한 IMT 시스템파라미터를정의하였다. 한편전파모델을담당하는연구그룹인 SG3에서는 2017년 3월전파채널모델초안을확정하였다. 이렇게 WP 5D와 SG3에서결정된모델링기법, 시스템파리미터, 채널모델을바탕으로 2017년 5월 TG 5/1 회의에서공유연구를위한전반적인방법론변수등을논의하였다. 해당논의가완료된사항은아니며추후계속진행될예정이다. 향후 2017년 9월회의부터공유결과제안및논의가본격적으로이루어질예정이다. 2018년 1월회의까지총 2회에걸쳐본격적인연구결과가논의될예정이며, 이러한논의결과를바탕으로 2018년 5월회의에서 CPM 보고서초안이완성될예정이다. 끝으로 2018년 9월회의에서 CPM 보고서작성이완료될예정이다. Ⅲ. 공유분석을위한 IMT 모델링 ITU-R WP5D는지난 2016년 10월 25차회의에서주파수공유및양립성연구를위한 IMT 모델링및시스템을정의하는 M.2101문서 [4] 를완성하였고이를바탕으로 TG5/1의공유연구를진행하고있다. 권고안 M.2101는 IMT 시스템과다른시스템과의주파수공유및양립성연구를위한 IMT 네트워크의모델링및시뮬레이션방법을제안하고있으며, 다른시스템의모델링및변수와전파모델선택에관한내용은다루고있지않음을명시하 < 그림 1> WRC-19 의제 1.13 관련공유연구일정 < 그림 2> IMT 모델링의순서도 27 전자공학회지 _ 521

32 조한신 고있다. 본장에서는 M.2101 권고안을기반으로한공유분석을위한 IMT 모델링기법을설명한다. < 그림 2> 는 IMT모델링기법의실제구현과정을나타낸다. 이를토대로모델링을진행하며마지막으로 SINR을계산하여 3GPP에가입되어있는다른기업들이제시한결과와비교분석후 IMT모델링의타당성을검증한다. 1. 기지국및이동국분포 IMT네트워크는 < 그림 3> 와같이 19개의기지국과 57 개의매크로셀로구성되어있으며 < 그림 4> 과같이실제네트워크주위를가상의클러스터가둘러싸고있다. 그이유는 < 그림 3> 에서중심셀에존재하는이동국과가장자리셀에존재하는이동국의간섭량이서로다르기때문에간섭의비대칭성이발생한다. 따라서 < 그림 4> 와같이가상의클러스터를구현하여이동국의위치와관계없이모든이동국의 2-tier 네트워크에대한간섭조건을동일하게설정한다. < 그림 5> 는 IMT 모델링의셀의형태와기지국의분포시나리오이다. 마이크로셀은육각형모양의고정된셀로이루어져있고하나의매크로셀안에는 3개의마이크로셀이원모양으로분포되어있다. 기지국은원주상에, 이동국은기지국과 3m이상떨어지면서원안에들어오도록랜덤하게분포시켰다, 기지국의안테나방향은셀의중심을향하고있으며이동국의안테나는 2섹터로하나는랜덤한방향으로, 다른하나는첫번째안테나 180도각도를이루고있다. 분포시킨이동국은 < 그림 6> 과같이 indoor 또는 outdoor 환경에서통신하며, outdoor에서는이동국의높이가모두 1.5m로같고경로손실이하나의식으로이 < 그림 5> 매크로 / 마이크로셀의형태및기지국분포시나리오 < 그림 6> indoor/outdoor 시나리오 < 표 2> 매질에따른침투손실 < 그림 3> IMT-2020 의네트워크형태 매질유리 IRR 유리콘크리트나무 침투손실 [db] < 표 3> Indoor 환경에서의추가손실 외벽경로손실 Indoor 손실 표준편차 Low loss 4.4 < 그림 4> 실제네트워크와가상의클러스터형태 High loss _ The Magazine of the IEIE 28

33 IMT G 서비스용후보대역결정을위한공유연구동향 안테나와수신기사이에건물벽이나나무등의장애물이있는상황을말한다. 이동국이기지국과 LOS일확률는다음과같다. (1) < 그림 7> LOS/NLOS 시나리오루어지지만 indoor의경우에는이동국의높이가랜덤하며이동국이있는건물을통과할때건물벽의매질에따른추가적인손실이발생한다. < 표 2> 는 indoor에서발생하는건물벽의매질에따른투과손실을나타낸다. < 표 3> 은 < 표 2> 의투과손실을바탕으로 low-loss와 highloss일때의외벽경로손실모델을구하고 indoor 환경에서추가되는경로손실의모델이다 [5]. indoor/outdoor 환경을정하고이동국과각기지국간 LOS 또는 NLOS 환경을결정한다. < 그림 7> 은 LOS/ NLOS 시나리오이다. LOS는 Line-of-sight의약자로서 < 그림 7> 의파란색화살표와같이송신안테나와수신기사이에장애물이없는상태를말하며 NLOS는 Non line-of-sight로 < 그림 7> 의붉은색화살표와같이송신 indoor의경우에는대신을대입하여를계산한다. 는또는에의해결정되므로기지국과이동국의거리가멀어질수록 LOS일확률이낮아진다. 이동국과기지국이식 (1) 에의해 LOS 또는 NLOS 로결정되었을때 LOS환경의경로손실은식 (2) 와같으며 NLOS에서의경로손실은식 (2) 와식 (3) 중손실이큰값을사용한다 [5]. (2) (3) 따라서경로손실은하나의이동국이다른기지국과의 LOS/NLOS 상황에따라멀리있는기지국과의경로손실이더작은경우도생기게된다. < 그림 8> 안테나이득모델링파라미터 < 그림 10> 매크로셀에서의 coupling loss 에따른기지국연결 < 그림 9> Element gain 3 차원패턴 < 그림 11> 마이크로셀에서의 coupling loss 에따른기지국연결 29 전자공학회지 _ 523

34 조한신 < 그림 12> Array gain 3 차원패턴 < 그림 13> 이동국에서받는신호 S 와간섭신호 2. 안테나 element gain 및기지국선택기지국과이동국의주빔과이루는각도에따라결정되는안테나 element gain 는식 (6) 과같다 [4]. (4) (5) (6) 식 (4) 와식 (5) 에서와 θ는 < 그림 8> 와같이수신기가송신안테나의주빔방향과이루는수평각과수직각이며와는와의값이 -3dB 이상인수평각, 수직각의범위이다. < 그림 9> 는주빔방향이 0, 와가 65, 가 5dB 일때의 element gain을보여주며주빔방향일때최대이득를가진다. i번째이동국에서각기지국과의 coupling loss 은식 (7) 과같이나타내며, 이중 coupling loss가가장작은기지국과통신한다. (7) < 그림 10, 11> 은식 (7) 을이용하여매크로셀과마이크로셀의상황에서이동국과결합손실이가장작은기지국이연결되었을때의그림이다. < 그림 10, 11> 을분석해보면이동국은주로가까운기지국과연결되지만몇몇이동국은 LOS/NLOS환경, 안테나 element gain에따라멀리있는기지국과연결되는상황이발생한다. 각기지국과통신할이동국이결정되면라운드로빈스케줄링을이용하여각각의기지국에서단위시간마다하 < 표 4> IMT 모델링파라미터 파라미터 매크로셀 마이크로셀 중심주파수 27GHz 대역폭 200MHz 기지국간거리 500m uniform 기지국안테나높이 25m 10m 이동국의 indoor 비율 80% Low/High loss 비율 50%/50% 최소이격거리 ( ) 35m 3m 기지국안테나배열 16x8 이동국안테나배열 4x4 기지국최대송신전력 43dBm 33dBm 나의이동국과통신하도록샘플링한다. 예를들어 A기지국은 3개의이동국과연결되고, B기지국은 2개의이동국과연결된다고가정한다면 A기지국은단위시간마다 A1-A2-A3-A1-A2-A3 과같은순서로연결되며 B기지국은 B1-B2-B1-B2-B1-B2 와같은순서로연결된다. 따라서기지국에연결된이동국이상대적으로적은곳은다른기지국에연결된이동국보다더많은통신이이루어진다. 3. Beam forming 및 SINR 계산 GHz 주파수대역에서는파장이짧아공간전송에서의경로손실이큰특징이있다. 차세대 5G 이동통신에서는높은경로손실을상쇄시키기위해기지국과이동국간 beam forming을이용하여통신한다. < 그림 8> 에서볼수있듯이안테나배열을기준으로수평각 ( ), 수직각 ( ), 안테나배열의개수 ( ), 안테나배열간의간격 ( ) 그리고식 (8) 과식 (9) 를이용하여안테나 array 524 _ The Magazine of the IEIE 30

35 IMT G 서비스용후보대역결정을위한공유연구동향 gain 식 (10) 을계산한다 [4]. (8) (9) 1. 주요모델 SG3 안내문서를기반으로향후공유연구에적용할가능성이높은모델로 P.452, P.619, P.2041을고려할수있다. < 표 6> 은대표적인경로손실모델의특징을정리하였다. (10) 식 (10) 을이용하여 < 그림 12> 에서는기지국과이동국이이루는각을중심으로안테나배열에따른이득값을 3차원패턴으로표현하였다. 이를통해기지국과통신하는이동국의각도에서는최대이득을갖게되어송신전력을증가시킬수있다. < 그림 13> 은각이동국의 SINR (signal to interference plus noise ratio) 을도식화한그림이다. 통신하는기지국으로부터받는수신전력과다른기지국으로부터받는누적간섭전력은각각식 (11) 과식 (12) 와같으며, 이를바탕으로식 (13) 을이용하여 SINR을계산한다. (11) (12) (13) Ⅳ. 경로손실모델 M.2101문서는공유분석을위한경로손실모델을정의하고있지않다. 이는간섭대상시스템및환경에따라다양한모델의적용이가능하기때문이다. 일반적으로대상간섭분석시스템을담당하는 ITU 연구그룹의의견에기반하여경로손실모델을적용하게된다. 2017년 3월 TG5/1은위성과의공유연구를위해 SG3(WP3K, 3J, 3M) 으로부터 WRC-19의제 1.13 공유연구를위한 ITU-R 경로소실모델적용에관한안내문서 [6] 를받았으며이를기반으로공유연구를수행중이다. < 표 5> 는공유시나리오및주파수대역별사용가능한경로손실모델을정리한결과이다. (1) P.452모델 [7] 간섭원과피간섭원모두지상에있는경우적용할수있다. 의제 1.13 주파수대역내의위성지구국과지상 IMT시스템간의간섭분석계산에주로적용가능한모델이다. 또한의제 1.13의모든주파수대역에적용가능하다. 지상전파에서발생하는모든전파현상을반영할수있으며, 크 공유시나리오 일반 클러터환경우주국과지구국간 비행체와지상간 지상간 모델명 적용대상 < 표 5> 공유환경별적용가능경로손실모델 [6] ITU-R reference GHz GHz GHz GHz GHz Handbook on 일반적인정보제공 interference P.1144 전파모델권고안에대한전반적인정보제공 P.[BEL] YES YES YES YES YES P.[Clutter_ Loss] YES YES YES YES YES P.619 YES YES YES YES YES P.2041 YES YES YES YES YES P.1409 YES YES YES YES YES P.452 YES YES YES YES YES P.2001 YES YES YES YES YES P.1411 YES YES YES YES YES P.1238 YES YES YES YES YES < 표 6> 대표경로손실모델특징비교 Rec. ITU-R P.452 지구표면에위치하는시스템 Rec. ITU-R P.2041 Rec. ITU-R P.619 항공기우주국 ( 인공위성 ) 산출지표경로손실 (db) 경로손실 (db) 경로손실 (db) 주파수 100 MHz ~ 50 GHz 1 to 55 GHz 100 MHz ~ 100 GHz 거리 제한없음 제한없음 제한없음 % 시간 % 위치 적용불가능 적용불가능 적용가능 높이 지표상이면제한없음 지표면-우주 지표면-우주 31 전자공학회지 _ 525

36 조한신 게장시간전파현상과단시간전파현상으로구분하여각전파현상을반영한경로손실모델을제공한다. 장시간전파현상으로가시영역전파, 회절, 대류권산란의영향을반영한다. 회절은지표면의장애물에의한전파의회절이발생하는경우고려한다. 대류권산란은 km 이상경로에서회절에의한전파의세기가미약할경우배경간섭전력요인으로작용한다. 일반적으로는다른현상에비해무시할수있을정도로전파의세기가약하다. 단시간전파현상은강우및특정기상현상이발생하는단시간동안만발생하는이례적전파현상을의미한다. 해당현상으로다중경로가시영역전파, 강수산란, 대기상층부의반사및굴절, 표면덕팅이있다. 가시영역전파의경우다중경로현상에의해전파가집중되는현상으로인하여단시간동안수신전파의세기가증가할수있다. 강수산란의경우비, 눈, 안개등에의한산란현상에의해전방향으로전파가퍼지는현상을고려하며전파의세기가매우미약하여일반적으로잘고려되지않는다. 대기권상층부의반사및굴절은 km 까지영향을줄수있다. 표면덕팅은수면이나해안상의전파경로에서단기간간섭현상을야기하는매우중요한요인이다. 해수면상의전파경로인경우 500 km 이상의거리에서도매우큰간섭을야기하여자유공간전파신호보다더강한값을가질수도있다. (2) P.619 모델 [8] 의제 1.13 주파수대역내의위성우주국과지상 IMT시스템간의간섭분석계산에주로적용가능한모델이다. 간섭원이단수인경우와복수인경우에각기다른모델을제공한다. 단수인경우의경로손실수식은다음과같다. < 그림 14> P.2041모델적용시나리오 [8] 클러터손실을고려할수있다. 빌딩투과손실은권고초안인 ITU-R P.[BEL] 의모델 [9] 을이용하며클러터손실또한권고초안인 ITU-R P.[Clutter] 의모델 [10] 을이용한다. 다수의간섭원이존재하는경우식 (14) % 를초과하지않는빌딩투과손실을추가적으로고려한다. (3) P.2041 모델 [11] 본모델은 < 그림 14> 에서볼수있듯이항공기와위성간의전파현상과항공기와지구국간의전파현상에대한두개의시나리오에대한경로손실계산과정을제공한다. 항공기내에탑재된레이더및위성송수신시스템과지상 IMT시스템간의간섭분석계산에주로적용가능한모델이다. 유사한시나리오에활용되어온기존의대표적인모델로 P.528모델이있다. P.528모델은 125 MHz ~ 15.5 GHz 대역에한정하여적용가능하며, 대륙성온대기후에서측정된데이터를기반으로하고있기때문에강우및대기감쇄가심한환경에적용하기어려운단점이있다 [12]. (14) 위식에서는자유공간손실, 는탈편파손실, 은 % 를초과하지않는대기가스손실, 는빔확산손실, 은 % 를초과하지않는대류권또는전리층신틸레이션에의한손실, 은덕팅및회절에의한손실을의미한다. 이에추가하여빌딩투과손실과 < 그림 15> 각환경과안테나높이에따른 clutter 손실의변화 526 _ The Magazine of the IEIE 32

37 IMT G 서비스용후보대역결정을위한공유연구동향 P.2041는이러한 P.528의단점을해결한모델로 1 ~ 55 GHz 대역에적용가능하며강우및가스감쇄를매우구체적으로고려하고있다. 예를들어다음식은비행기와인공위성간의전파환경에대한강우및가스감쇄를계산하기위해정의된다. (15) 위식의우변은다양한감쇄를의미하여아래첨자로구분되어있다. 아래첨자기준 G는산소와수증기에의한감쇄, R은강우감쇄, C는구름감쇄, S는대기권신틸레이션 (scintillation) 에의한페이딩을의미한다. 신틸레이션페이딩은 ITU-R P.618 권고안 [13] 에자세히설명되어있다. 2. 클러터모델 (1) P.452 클러터모델기존에는 P.452 모델에서정의하고있는클러터모델이주로사용되어왔으며다음수식을이용하여클러터손실을정의한다 [7]. < 그림 16> 지상경로에적용되는거리에따른클러터손실의평균값 [10] (16) 위식에서는클러터거리로서 km 단위이고는 m 단위의클러터높이이다. 또한는클러터내에위치하는간섭원또는피간섭원의안테나높이를나타낸다. < 그림 15> 는식 (16) 를이용하여각전파환경과안테나높이에따른클러터손실의변화를나타낸다. 모든전파환경에대해안테나높이가증가할수록클러터손실은감소하며안테나의높이가클러터높이보다높아지면클러터손실은더이상감소하지않는다. 이는송수신안테나의높이가클러터높이보다높은경우간섭원과피간섭원간에장애물이존재하지않게되기때문이다. 한편 dense urban 과 urban에대해클러터손실의최대값은약 20 db로동일하지만 rural 환경의경우최대클러터손실은약 18 db 임을알수있다. 이러한현상이발생하는이유는식 (16) 에의해안테나높이가 0인경우클러터손실은클러터거리에만영향을받으며클러터거리가증가할수록클러터손실은감소하기때문이다. (2) 새로운클러터모델 [10] 기존의 P.452 모델에서클러서손실은 20 db 이하로한정된다. 그러나밀리미터파대역에서측정에의하면 20 db이상의클러터손실이발생가능하다. 이러한문제를해결하기위해 ITU-R SG3에서 P.[Clutter] 라는명칭으로새로운클러터모델권고초안이완성되었다. 해당모델은주파수, 주변클러터환경, 전파경로형태에따라 3가지세부모델을제공한다. 첫째, 시스템이대표클러터높이보다낮은경우에적용하는모델이다. 본모델은 0.03~3 GHz 주파수대역에적용가능하며, 기존 P.452 < 그림 17> 지상 - 우주또는지상 - 항공기경로에적용되는 30 GHz 클러터손실의누적확률분포 [10] < 그림 18> FSS 간섭시나리오 [14] 33 전자공학회지 _ 527

38 조한신 모델과동일한방식인기본전송손실의양끝단 ( 송신기, 수신기 ) 에클러터손실을더하는형태로적용된다. 두번째모델은 2~67 GHz 대역에적용되며송, 수신기모두도심및부도심환경에위치하는경우에대한통계적인클러터손실값을제공한다. < 그림 16> 는해당모델에의한평균클러터손실을나타낸다. 20 db 이상의클러터손실이가능함을확인할수있다. 셋째, 지상-우주, 지상-비행기경로에적용가능한클러터손실모델이다. < 그림 17> 에서볼수있듯이통계적모델로앙각에따라클러터손실의누적밀도함수를제공한다. Ⅴ. 예상되는공유분석시나리오및방법 의제 1.13에제시된후보대역은현재전파규칙에의해다양한타서비스를위해할당되어있다. 본논문에서는대표적공유시나리오로인고정위성서비스와 IMT-2020 간공유시나리오와위성간서비스와 IMT-2020간공유시나리오에대해설명한다. 또한각시나리오에적용가능한간섭분석기법에대해제시한다. < 그림 19> 시나리오 : ISS 상향링크상황에서의간섭 [15] 위식에서는 outdoor urban hotspot 서비스환경에서의거주지역비율, 는거주지역중핫스팟영역비율이며는네트워크부하계수 (Network loading factor) 이다. 는 IMT의면적으로서, 를면적으로나누어 IMT영역에서받는전체간섭량을 km 2 당받는간섭량으로환산하였다. 최종적으로허용간섭기준을만족하는최대 IMT 네트워크영역는다음과같다. (19) 위식에서은 I/N CDF 곡선에서 F(x) = 0.99를만족하는 x 값이다. 최대커버리지영역이란간섭허용기준을만족하는서비스가능영역의최댓값을의미한다 GHz 대역고정위성서비스 < 그림 18> 은 IMT와 FSS간의동일주파수대역간섭시나리오이다. FSS와지구국이상향링크로통신할때 FSS가상대적으로먼거리에있고서비스하는면적이넓어 IMT의기지국또는이동국으로부터간섭을받게된다. 이때의 IMT 기지국이나이동국으로부터받는간섭을구하여 I/N을계산한다. FSS에서하나의 IMT 기지국또는이동국으로부터받는간섭는다음과같다. (17) FSS와통신하는지구국이 IMT 영역안에있으며 FSS 안테나의주빔방향이지구국이라고가정하면 FSS와 IMT 가이루는각도도주빔방향과거의같기때문에식 (17) 에서는 FSS 수신안테나의최대이득을사용한다. 전체간섭는다음과같이나타낼수있다. (18) GHz 대역위성간서비스 < 그림 19> 은 IMT가저궤도위성과 Tracking and Data Relay Satellite (TDRS) 간 ISS 상향링크에미치는간섭전력을계산하는시나리오를나타낸다. 최악의상황을고려하여 5G 기지국이 TDRS 수신안테나의최대이득방향에위치한다고가정했을경우의 IMT 간섭전력이 TDRS의간섭기준허용치를만족한다면실제환경에서도허용기준을만족하게될것이다. TDRS가수신하는누적간섭전력은위의 FSS 경우와동일한연산을수행하여계산가능하다. Ⅵ. 전망과결론 WRC-19 의제 1.13은차세대 5G 이동통신시스템용국제공용주파수확보를위한매우중요한내용을다루고있다. 이는향후대한민국의 5G 이동통신을위한주파수정책및관련산업에매우큰영향을미칠수있다. 528 _ The Magazine of the IEIE 34

39 IMT G 서비스용후보대역결정을위한공유연구동향 정부및산, 학, 연전문가로구성된한국ITU연구위원회는이러한중요성을인지하고적극적으로대응하고있다. 이러한진행상황및표준현황의공유를통해 WRC-19에서국가및산업차원의목적을성공적으로달성할수있기를기대한다. 참고문헌 [1] RESOLUTION 238 (WRC-15), Studies on frequencyrelated matters for International Mobile Telecommunications identification including possible additional allocations to the mobile services on a primary basis in portion(s) of the frequency range between and 86 GHz for the future development of International Mobile Telecommunications for 2020 and beyond, [2] ITU-R Preparatory Studies for WRC-19, ITU-R/study-groups/rcpm/Pages/wrc-19-studies.aspx [3] 한국ITU연구위원회 WP 5D 연구반, itur/summary.itu?rpi=10&rci=81. [4] Modelling and simulation of IMT networks and systems for use in sharing and compatibility studies Rec. ITU-R M , Feb, [5] 3GPP TR Technical Specification Group Radio Access Network Study on channel model for frequency spectrum above 6 GHz (Dec/2016) [6] Working Parties 3K, 3J and 3M (JDG-3), DRAFT LIAISON STATEMENT TO TASK GROUP 5/1, ITU-R Document 3K/ TEMP/32-E, March [7] Prediction procedure for the evaluation of microwave interference between stations on the surface of the Earth at frequencies above about 0.7GHz, ITU-R Recommendation P [8] Working Party 3M, WORKING DOCUMENT TOWARD A PRELIMINARY DRAFT REVISION OF RECOMMENDATION ITU-R P.619-1, ITU-R Document 3M/TEMP/44-E March [9] Working Party 3K, DRAFT NEW RECOMMENDATION ITU-R P.[BEL] Prediction of Building Entry Loss, ITU-R Document 3K/TEMP/42-E March [10] Joint Sub-Working Groups 3K-3M Clutter, PRELIMINARY DRAFT NEW RECOMMENDATION ITU-R P.[CLUTTER], ITU-R Document 3K/TEMP/45-E March [11] Prediction of path attenuation on links between an airborne platform and Space and between an airborne platform and the surface of the Earth, ITU-R Recommendation P [12] Propagation curves for aeronautical mobile and radionavigation services using the VHF, UHF and SHF bands, ITU-R Recommendation P [13] Propagation data and prediction methods required for the design of Earth-space telecommunication systems, ITU-R Recommendation P [14] Japan, Proposal on sharing study of IMT systems with fixed satellite service (Earth-to-space) in the GHz band, ITU-R Document 5-1/83-E, May, [15] UK, Sharing and compatibility studies of IMT systems in the GHz frequency range with the inter-satellite service, ITU-R Document 5-1/79-E, May 2017 조한신 2002 년연세대학교기계전자공학부졸업 ( 학사 ) 2004 년연세대학교대학원전기전자공학과졸업 ( 석사 ) 2009 년연세대학교대학원전기전자학과졸업 ( 박사 ) 2009 년 ~2011 년 University of Texas at Austin, Postdoctoral Fellow 2011 년 ~2012 년삼성전자네트워크사업부책임연구원 2012 년 ~2015 년국립한밭대학교전자 제어공학과조교수 2015 년 ~ 현재국립한밭대학교전자 제어공학과부교수 2016 년 ~ 현재한국 ITU 연구위원회 WP5D 위원 2016 년 ~ 현재한국 WRC-19 준비단 WG2( 이동 ) 위원 < 관심분야 > 이기종무선네트워크, 무선통신시스템간의주파수공유및양립성, 학률기하이론 35 전자공학회지 _ 529

40 특집 p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 p 기반차량간통신환경에서 도플러효과극복을위한고성능 채널추정방법에관한연구동향 Ⅰ. 서론 백승환한국교통대학교정보통신공학과한승호한국교통대학교정보통신공학과송창익한국교통대학교정보통신로봇공학전공 국내자동차산업은최근 5년간 153만대에서 181만대로 30만대가량꾸준히성장세를보이고있으며, 2030년에는동남아시아지역의자동차시장의소비증가로 1억 5천만대이상판매될것으로예상하고있다. 또한, 최근자율주행자동차의등장으로국내 외적으로자동차에대한관심이집중되고있으며선진기업및연구소들을중심으로자율주행자동차를포함한지능형교통시스템 (ITS: Intelligent Transportation System) 이새로운신성장동력으로주목받고있다. 자동차시장의확대와더불어최근자율주행자동차구현을위한핵심기술로써차량과무선통신기술을융합한 C-ITS (Cooperative ITS) 기술이활발하게연구되고있다. C-ITS는차량을중심으로형성된통신네트워크를이용하여차량정보와도로환경등을노변장치및다른차량에게제공함으로써협력적으로도로교통의효율성을높이는서비스를통칭하며운전자의편리성뿐아니라자율주행시안전성을책임지는핵심역할을수행한다. C-ITS를위한차량통신시스템은현재차량과노변기지국간 (V2I:Vehicle-to-infrastructure) 멀티미디어통신을주로담당하는 LTE(Long Term Evolution) 기반의셀룰러규격과 V2I뿐아니라차량간 (V2V: Vehicule-to-Vehicle) 안전메세지송 수신을주요목적으로하는 WAVE (Wireless Access Vehicular Environment) 규격이사용되고있으며, 5G 등차세대이동통신시스템에서는더욱진화된형태의고성능 C-ITS 통신서비스를위한표준화작업이활발히진행이되고있다. 이러한차량간통신에접목되는통신기술들은높은신뢰성과낮은지연 (HR/LL : High-Reliable and Low Latency) 두가지를동시에 530 _ The Magazine of the IEIE 36

41 802.11p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 만족하여야한다. 하지만고속으로이동하는차량간통신환경에서는높은도플러효과와다중경로지연 (multipath delay) 에의한간섭때문에두가지특성을모두만족시키는것이매우어렵다. 특히 WAVE 수신기는제한된파일럿 ( 혹은훈련심볼 ) 자원을이용하여빠르게변화하는채널의상태정보를정확히알아내어야하는데, 차량의이동속도가증가할수록채널변화를정확하게추적하기어렵기때문에전체시스템의신뢰성이급격히감소될수있다. 따라서상대속도 200km/h이상의고속이동차량간 WAVE 통신에서원하는수준의신뢰성을얻기위해서는물리계층에서의오류를최소화하는고성능채널추정기법에대한더욱심화된연구가필요하다. 본고에서는 WAVE 물리계층의신뢰성향상을위해개발되어온다양한 WAVE 채널추정기법들의현재와미래에대하여논의한다. 또한향후진화된형태의 WAVE 시스템에적용될수있는고성능채널추정기법들을제안하고그가능성을살펴보는것을목표로한다. 먼저 2장에서는 WAVE 물리계층규격과채널모델을설명하고 3 장에서는빠르게변화하는채널을극복하기위해제안되어왔던기존채널추정기법들을분석하고최근새롭게제안된기법들과향후 WAVE규격에적용될수있는다양한채널추정기술들을소개한다. 4장에서는본고에서소개된채널추정기법들에대한패킷에러 (PER: Packet Error Rate) 성능을모의실험통하여비교분석하고끝으로 5장에서는결론을도출한다. 계층을포함하는 p규격과자원관리, 보안, 멀티채널동작등의서비스관련규격을담당하는 IEEE 1609 를결합하여 WAVE규격을탄생시켰다. 특히 p는기존 WLAN(802.11a) 와 DSRC (Direct Short Range Communications) 규격을차량환경에적합하게개량한모델로변조방식은심볼당 64개의부반송파를갖는 OFDM (Orthogonal Frequency Division Multiplexing) 을사용한다. 기존 WLAN 대비 WAVE의가장큰차이점은기존 VANET (Vehicular ad-hoc network) 기술과의호환성을유지하기위해대역폭을 20MHz에서 10MHz로축소시킨점이다. 또한 WAVE는저지연 (LL) 요구조건을만족하기위해 IEEE1609.3을정의하여기존의 TCP/IP 구조에서발생하였던인증지연을 TCP/IP와 WSMP (WAVE Short Message Protocol) 를선택적으로사용하는 Dual Networking 구조를사용함으로써지연을최소화한다. IEEE p의물리계층패킷구조는 < 그림 1> 과같다. 프리앰블 (preamble) 구간은패킷시작결정과시간주파수동기화를담당하는 STF (Short Training Field) 와초기채널추정을담당하는 LTF (Long Training Field) 로구성이되어있다. 신호영역 (Signal Field) 은패 < 표 1> p 물리계층파라미터 Ⅱ. WAVE 물리계층패킷구조 차량통신에결합되는무선통신기술은높은신뢰성과낮은지연시간을만족하여야한다. 이러한서비스요구조건을충족하기위해 IEEE 위원회에서는 PHY와 MAC < 그림 1> p 패킷구조 37 전자공학회지 _ 531

42 백승환, 한승호, 송창익 킷전송속도와길이, 변복조방식, 부호율과같은전송정보를포함하며, 데이터영역 (Data Field) 은패킷의크기및전송속도에따라수십 ~ 수백의 OFDM 심볼로구성될수있다. 신호및데이터영역의각 OFDM심볼은위상을추정하기위한용도로 21, -7, 7, 21번째위치에고정적으로 4개의 comb type파일럿신호를삽입한다. 송신단은 CQI(Channel Quality Indicator) 레벨에따라코드율 (1/2, 2/3, 3/4) 과변조레벨 (BPSK, QPSK, 16QAM, 64QAM) 을결정할수있으며, 전송비트율은 3Mbps에서최대 27Mbps까지지원가능하다. 오류정정및무선채널의연집에러 (burst error) 극복을위하여길쌈부호기 (convolutional encoder) 와비트단위의인터리버 (interleaver) 를사용하며수신단은비터비복호기 (Viterbi decoder) 와 deinterleaver를사용하여신호를복원한다. 그외 p의물리계층파라메터들은 < 표 1> 에잘정리되어있다 p 패킷구조는프리앰블의 LTF와신호 / 데이터영역의 4개의 Pilot신호만을수신단의채널추정을위해허용하고있기때문에, 시변채널환경에서그성능이매우제한적이다. 특히시간, 주파수상관도가현저하게떨어지는고속도로 Non-LOS (NLOS) 환경에서는성능열화를피할수없다. 또한 WAVE와같이 OFDM을기반으로하는시스템의경우, 고속이동시한 OFDM심볼내각샘플시간간격에서도채널이변화할수있기때문에부반송파간의직교성을보장받지못하며, 이경우부반송파간간섭 (ICI: Inter Carrier Interference) 으로인해 PER 성능열화를가속화한다. 따라서기존 WAVE 패킷구조를크게손상시키지않는범위에서높은신뢰성을갖는 WAVE시스템을구현하기위해서는고성능디코더의활용, 수신단 ICI 제거기법, 송 수신다이버시티기법등과결합된보다진화된형태의고성능 WAVE 채널추정에대한심화된연구가필요하다. Ⅲ. WAVE 채널추정기법 본장에서는 WAVE 패킷구조에서 LTF 및데이터영역의 4개의알고있는 pilot 신호만을이용하여채널을추정 하는기존 WAVE 채널추정알고리즘들에대하여소개하고문제점을분석한다. 이후, 다음장에서는보다높은신뢰성을얻기위해최근개발된다양한 advanced 채널추정기법들에대하여논의할것이다. 1. LS 기법 (Least Square) LS 추정기법은기존 a에서사용된기본적인채널추정기법으로 LTF의알려진긴훈련심볼을이용하여채널을추정하고, 이렇게추정된채널을바탕으로모든데이터필드의신호를등화하는기법이다. 시간영역에서노이즈와함께수신된긴훈련심볼을과 ( 은시간인덱스 ) 이라하고이를각각 64-point FFT (Fast Fourier Transform) 를수행하여획득한주파수영역심볼을, ( 는부반송파인덱스 ) 라할때 LS 채널추정기법에의한번째부반송파의채널추정치는다음과같이정의된다. (1) 여기서는사전에약속된번째부반송파에서의훈련신호이다. 는이후패킷내에서수신된모든데이터심볼를등화하는데적용된다. 이때는한패킷내데이터영역에서전송되는번째 OFDM 심볼의번째부반송파의심볼을의미한다. 등화된번째 OFDM 심볼의번째부반송파심볼은다음과같다. (2) 여기서는 < 그림 2> 과같이등화된신호를원래성좌점 (constellation) 으로디매핑하는양자화연산을의미하여는한데이터필드를구성하는 OFDM 심볼의개수를의미한다. 이러한 LS 채널추정방법은시변하지않는채널에서는낮은계산복잡도로우수한성능을얻는좋은기법일수있으나, 차량환경과같이고속이동상황에서는채널의변화를반영하지못하기때문에패킷의후반부로갈수록심각한오류를초래할수있다. 532 _ The Magazine of the IEIE 38

43 802.11p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 2. STA 기법 (Spatial Temporal Average) [1] LS 기반채널추정의문제점을해결하기위하여 [1] 에서는 STA기법을제안하였다. STA 기법은특정번째데이터심볼의추정값을이용하여지속적으로채널추정값을업데이트해나가는데이터기반의채널추정기법 (data-aided channel estimation) 이다. 구체적인 STA 채널추정과정은다음과같이설명될수있다. ( ) 번째에서추정된채널값는아래와같이번째수신된데이터심볼를등화하는데이용된다. (3) 이때인경우를등화하기위해사용되는는식 (1) 과같이추정된다. 그리고복원된데이터심볼는번째심볼에대한채널값을업데이트하기위한데이터파일럿신호로이용된다. (4) 이러한채널추정값과데이터복원값의연쇄적인업데이트를통해시변채널환경에서도채널추정오차를줄일수있다. 그러나변조심볼를추정하는과정에서여전히채널추정오차가남아있을뿐만아니라잡음으로인해디매핑오차 (demapping error) 가발생하고, 에도채널추정오차가반영되게된다. 따라서디매핑오차에의한채널추정오차를완화하기위해서마지막으로주파수영역과시간영역에서순차적으로추정된채널값의평균을취하는 smoothing과정이수행된다 [1]. STA 기법은시간, 주파수측으로의평균을취하는 smoothing 과정으로인해잡음이비교적큰낮은 SNR (Signal-to-Noise Ratio) 에서는노이즈가감소효과가 나타나비교적좋은성능을보이지만 SNR이증가하면, 채널추정오차에따른심볼간간섭으로 SNR이증가해도오류가감소하지않는오류플로어 (Error Floor) 현상이발생하는단점이있다. 3. CDP 기법 (Constructed Data Pilot) [2] CDP 기법은시간영역에서인접한두 OFDM 심볼사이의채널상관도가크다는특성을이용하여채널의신뢰성테스트를통해디매핑오류를최소화하여채널을추정하는기법이다. 구체적으로수식 (4) 와같이 STA 방법을통하여얻은채널추정값을이용하여번째수신심볼을다음과같이등화및디매핑한다. (5) 그리고동일수신심볼에대하여이전채널추정값인로같은과정을반복한다. (6) 이후시간영역에서와에대하여다음과같은신뢰성테스트를수행한다. (7) 만일디매핑후복원된두데이터값이같다면채널추정값을신뢰할수있다고판단하고, 그렇지않다면현재채널추정값는버리고이전채널추정값을현재채널추정값으로사용하게된다. CDP 기법은 STA기법대비높은 SNR영역에서좋은성능을얻는반면낮은 SNR영역에서는여전히 STA기법이우수한성능을보인다. 또한시간축으로신뢰성이없다고판단되었다고하더라도, 이전채널추정값을그대로사용하기때문에시변하는채널의변화를반영하기어렵다는단점이있다. < 그림 2> 디매핑과정 4. TRFI 기법 (Time domain Reliability test and Frequency Domain Interpolation) [3] TRFI 기법은 CDP 기법에서디매핑오류가발생하였을 39 전자공학회지 _ 533

44 백승환, 한승호, 송창익 때이전심볼의채널값을현재채널추정치로그대로사용함으로발생하는문제점을보완하기위해제안된방법이다. CDP와달리 TRFI는신뢰성테스트이후특정심볼의특정부반송파에서추정된채널이신뢰성이결여되었다고판단되면채널추정을잠시보류한후 4개의파일럿부반송파로추정된채널값과신뢰성이있다고판단된부반송파들의채널값들을이용하여주파수측으로보간 (interpolation) 을수행한다. TRFI 채널추정알고리즘은다음과같이요약된다. 1 4개의파일럿심볼은수신단에서이미알고있는심볼로서파일럿심볼의인덱스를집합에포함시킨다. 이때, 집합는번째심볼에서신뢰성있는채널추정값의부반송파인덱스집합을의미한다. 2 번째심볼에서데이터부반송파인덱스집합을라할때, 집합에포함되는모든원소에대해서가참인지거짓인지판별한다. 참인경우이고, 는에포함된다. 거짓인경우는신뢰성없는채널추정값의부반송파인덱스집합인에포함된다. 3 집합에포함된에대해서는집합에속한부반송파의채널추정값을주파수영역에서보간하여를추정한다. TRFI 기법은시간과주파수상관특성을이용하여채널추정값의디매핑오차를감소시킴으로써 STA대비 SNR이높은영역에서채널추정정확도가향상되고주파수상관특성이높아지는장점을가진다. 특히 CDP와비교하였을경우, 64QAM이상의높은변조레벨 ( 혹은전송률 ) 에서더좋은성능을얻을수있음을확인할수있다. 그러나 CDP와마찬가지로 SNR이낮은영역에서는잡음에의한디매핑오차가커지게되어성능열화가발생하는문제점을가진다. 한채널추정기법을적응적으로선택하는 ACE(Adaptive Channel Estimation) 기법을통해낮은 SNR과높은 SNR 전영역에서고른성능을얻을수있다. ACE기법은 LTF에서오류전력을계산하여 STA와 TRFI 중현재채널환경에적합한채널추정기법을결정한다. 먼저두개의 LTF신호중첫번째수신신호를이용하여다음과같이 LS 채널추정한다. (8) 이후두번째 LTF수신신호에대하여각각 STA 와 TRFI방식을이용하여 LTF의두번째심볼에대한채널추정값, 를구한다. 다음으로식 (8) 에서구한와비교하여각각오류전력을구한다. 여기서오류전력이낮다는것은 STA혹은 TRFI를이용한채널추정값이비교적정확함을의미하므로우리는보다낮은오류전력을갖는기법을이후데이터영역의신호를복원하는데사용하도록결정할수있다. 이러한과정을수행한 ACE 채널추정기법의가장큰장점은기존에제안된채널추정기법에비해전체적인 SNR구간에대해유연한성능을제공하는장점이있다. 또한, LTF 만을사용하여데이터영역의채널추정기법을결정하기때문에수신기의복잡도가비교적낮다. 반면고속이동에따른급격한시변채널에서는오류전력의정확도가떨어짐에따라선택오류가발생할수있다. Ⅳ. Advanced WAVE 채널추정기법 5. ACE 기법 (Adaptive Channel Estimation) [4] 앞서설명한바와같이 STA 채널추정기법은잡음이강한 Low-SNR에서우수한성능을제공하고, CDP 및 TRFI 채널추정기법은높은 SNR에서좋은성능을보인다. 따라서 STA와 TRFI 채널추정기법중성능이우수 본장에서는기존의 WAVE채널추정기법들이갖는문제점을극복하고고속이동시에도높은데이터복원신뢰성을얻을수있는몇가지 advanced 채널추정기법들을소개한다. 534 _ The Magazine of the IEIE 40

45 802.11p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 < 그림 3> ICED 블록다이어그램 1. 반복적채널추정및복호 (ICED: Iterative Channel Estimation Decoding) 기법 [5] 반복적채널추정및복호 ( 이하 ICED) 기법은상대속도가수백 Km/h에이르는고속환경에서높은신뢰성을얻기위해제안된채널추정기법이다. 반복적채널추정기법은 < 그림 3> 에서보는바와같이초기채널추정을통하여데이터를복원하는것에그치지않고비트단위로복원된디코더출력정보를다시활용하여반복적으로채널추정에활용하는수신단알고리즘이다. 알고리즘구현을위한각블록의역할은다음과같다. 1 초기채널추정 (Initial CE): 기존에 WAVE 환경에서제안된 LS, STA, TRFI 등채널추정방식을통하여초기채널을추정한다. 사실 ICED의성능은초기채널추정방식에크게의존하는경향을보이기때문에채널상황에따라적절한초기채널추정방식을선택하는것이매우중요하다. 2 연판정디매퍼 (Soft Demapper): 연판정디매퍼에서는초기채널추정단계에서구해진채널추정값을이용하여수신신호를등화하여비트단위의 LLR (Log Likelihood Ratio) 값을얻는다. 얻어진 LLR값은이후디인터리버 (deinterleaver) 를통과하여원래송신부호비트열순으로복원된후디코더에입력된다. 3 맵디코더 (MAP Decoder): ICED 기법은반복적채널추정을위하여기존의 Viterbi디코더대신디매퍼로부터받은부호비트에대한 LLR 값을사용하여 update 된부호비트 LLR값을출력할수있는 MAP 디코더를사용한다. MAP 디코더에서출력된부호비트 LLR값은이후인터리버를통해다시비트단위로뒤섞인후성좌점신호로매핑되어파일럿신호로활용된다. MAP 디코더가반복단계에속해있다면부호화비트에대한 LLR 값이사전 LLR 값의기여도를제거한후인터리버를통과하게되 < 그림 4> Dual ICIC 기법블록다이어그램 41 전자공학회지 _ 535

46 백승환, 한승호, 송창익 고반복이끝나게되면출력값으로정보비트에대한 LLR 값을이용하여경판정을수행후최종수신비트를결정한다. 4 연판정매퍼 (Soft Mapper): 인터리버를통과한 MAP 디코더출력 LLR 값은연판정매퍼에서성좌점에해당하는심볼값으로매핑이된다. 이경우각 LLR값이가진비트단위의확률값을이용하여성좌점의평균치로매핑이가능하다. 이러한매핑방식을연판정매퍼라고한다. 이렇게구해진심볼값들은반복적채널추정단계에서파일럿데이터심볼로사용된다. 5 반복적채널추정 (Iterative CE): 반복적채널추정단계에서는연판정매핑단계에서복원된데이터심볼을기존파일럿신호와함께채널추정에활용된다. 반복적채널추정단계에서사용되는채널추정방법은모든부반송파가파일럿으로채워져있는점을이용하여초기채널추정기법보다더욱성능이좋은 MMSE, MLE등의기법을사용하여반복적채널추정을수행한다. ICED기법은채널추정과데이터디코딩을반복적으로수행함으로써디코더의오류정정이득을다시채널추정이득으로활용하여성능을향상시키는기법이다. 시뮬레이션결과를통하여다시소개하겠지만 ICED기법은다른 WAVE채널추정기법대비매우우수한성능을얻을수있다. 하지만, 전체패킷에대하여디코딩을여러번반복적으로수행하여야하기때문에수신지연이발생할수있는문제점이남아있어수신단복호기의신뢰성을유지하면서도복잡도를낮추는연구가추가적으로필요하다 2. Dual ICI Cancellation (Dual ICIC) 기법 ICED를포함한기존의모든 WAVE 채널추정기법들은최소한하나의 OFDM심볼내에서는채널이변하지않는다고가정한다. 하지만, 차량간통신환경에서는상대속도가 200km/h정도만되어도한 OFDM심볼내에서극심한채널변화를겪기때문에부반송파간직교성을보장받지못한다. 이는곧부반송파간의간섭으로이어지며 WAVE시스템의성능열화의주된원인이된다. 이러 한문제점을보완하기위하여기존에개발된다양한 ICI 제거기법중 dual ICI 제거기법 [6] 을 WAVE시스템의패킷구조에적합하도록개량하여적용수있다. 번째 OFDM심볼의번째부반송파에서 ICI를고려한수신심볼을수식적으로표현하면일반적으로다음과같다. ICI제거기법의주요목적은이수식에서간섭으로작용하는두번째항를제거하는것이다. Dual ICIC 기법은 < 그림 4> 와같이먼저수신심볼에서 ICI성분이일부제거된값을구하고기존 WAVE환경에서제안된채널추정기법을적용하여채널추정치를알아내는 pre-icic단계와인접 OFDM 심볼들의채널추정치의기울기정보를이용하여 ICI를다시제거해주는 post-icic 단계로나뉜다. 1 Pre-ICIC: 모든와에대하여 Pre-ICIC이후신호는다음과같이표현될수있다. 1 여기서는시간영역에서의샘플인덱스정보를나타낸다 ( 자세한내용은 [6] 을참조 ). 는번째 OFDM심볼의번째부반송파에서수신된수신신호를나타내고, 는이전채널추정값을이용하여복원한현재데이터추정값이며, 는다음과같이정의되는이전 OFDM 심볼들의채널의기울기를나타낸다. 1 여기서 은한 OFDM심볼내총샘플수를의미한다. 이와같이 Pre-ICIC과정을통하여수신신호에서 ICI값을일부제거해줄수있다. 2 Post-ICIC: 이전 Pre-ICIC 단계에서구해진인접심볼들간의채널기울기를이용하여다시 Post- ICIC를수행할수있다. 예를들어번째 OFDM심볼의번째부반송파에해당하는채널값을다음과같이다시계산할수있다. 536 _ The Magazine of the IEIE 42

47 802.11p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 1 여기서는수신심볼에작용하는 ICI의채널성분이되며다음과같이 ICI성분을제거하여을구한다. 이득에의하여복원된신호의신뢰성이향상될수있다. 이러한다이버시티이득은 STA 등 data aided 채널추정방식을사용할경우채널추정이득으로활용될수있기때문에이러한과정을반복할경우 ICED와같이상당한신뢰성향상을꾀할수있다. Ⅴ. 모의실험결과및분석 1 마지막으로 ICI가제거된수신신호를로등화한후추정심볼값을최종도출한다. 3. MRC(Maximal-ratio combining) 수신다이버시티를이용한채널추정기법기존 WAVE 규격은단일안테나를기반으로개발되었기때문에대부분의연구는단일안테나환경에서수행되었다. 하지만최근고속이동에대한신뢰성향상및전송률증가에대한요구사항이증가함에따라다중안테나를사용하여성능을향상시키는노력이많이시도되고있다. 본절에서는수신단에서다중안테나를사용할경우기존 WAVE 채널추정의성능을비약적으로향상시킬수있음을보인다. 수신단에서다중안테나를사용하여다이버시티이득을얻는대표적인기법으로 MRC가있다. WAVE채널추정시초기채널추정이후신호를복원할경우다이버시티 본장에서는 Cohda Wireless[7] 사에서제시한차량간채널환경의실측파라메터를사용하여다양한채널추정방식의성능을모의실험한결과를소개한다. 본고에서는간략화를위하여여러채널환경중에서도실제적으로의미가있는 NLOS환경을고려하였고상대속도 126km/h의도심교차로환경과상대속도 252km/h의고속도로환경을가정하였다. 변조방식과코드율은각각 QPSK와 1/2로고정하여사용하였으며데이터필드의 OFDM심볼은총 100개즉패킷당 600 data bytes를가정하였다. 먼저 < 그림 5-7> 은 126km/h 교차로환경에서다양한채널추정방식의 PER성능을보여준다. 모든경우에있어서 LS기법을포함한기존 WAVE채널추정방식에비하여 ICED, ICIC, MRC 등 advanced 채널추정방식의성능이월등히뛰어난것을확인할수있다. 또한 ACE기법의경우 LTF만으로도상황에맞는채널추정방식을적절히선택해낼수있는것을확인하였다. < 표 2> Crossing N-LOS < 표 3> 252km/h Highway N-LOS < 그림 5> 기존 WAVE 채널추정 vs. ICED 43 전자공학회지 _ 537

48 백승환, 한승호, 송창익 < 그림 6> 기존 WAVE 채널추정 vs. ICIC < 그림 9> 기존 WAVE 채널추정 vs. ICIC < 그림 7> MRC 채널추정기법의 PER 성능 < 그림 10> MRC 채널추정기법의 PER 성능 < 그림 8> 기존 WAVE 채널추정 vs. ICED < 그림 5> 는기존채널추정방식과 ICED 방식의성능 을비교한그림이다. 여기서우리는 ICED 기법의초기 채널추정은 STA와 TRFI를그리고반복단계채널추정으로 1-dimensional minimum mean squared error (1DMMSE) 방식을사용하였다. 재미있게도 ICED기법의전체적인성능은향상되지만초기채널추정기법의경향을그대로따르는것을확인할수있다. 기존의 TRFI 채널추정방식은원하는성능을얻기위해매우높은 SNR 을요구하지만 TRFI기반의 ICED기법의경우전체적인성능향상에따라실용적인 SNR영역에서도좋은성능을기대할수있게되었다. 하지만 MAP 디코더의사용으로수신단의높은복잡성을요구하기때문에복잡성을낮추고채널추정의오류를최소화하는채널추정기법이추가적으로연구될필요가있다. < 그림 6> 은기존채널추정기법과 TRFI에기반한 538 _ The Magazine of the IEIE 44

49 802.11p 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 ICIC기법의성능을주로비교한다. 그림에서확인할수있듯이기존 TRFI 기법에비하여 ICI를제거한후채널을추정할경우최대 3~5dB가량의성능이득을얻을수있다. 비록이그래프에서는보이지않았지만 ICIC기법과 ICED기법을결합하였을경우더욱뛰어난시너지효과를얻을수있을것으로기대한다. < 그림 7> 은수신단에서다중안테나다이버시티기법을사용하였을경우기존채널추정기법대비얻을수있는이득을보여준다. 그림을통해확인할수있듯이 LS방식은다중안테나사용으로얻을수있는이득이제한적인반면 STA와 TRFI기법의경우수신단에서다중안테나를이용한다이버시티를활용할경우의미있는성능이득을얻을수있다. 특히실용적인 SNR에서 STA기법의경우 0.01% 에가까운 PER성능을얻을수있으며, ICED기법과결합할경우더욱큰폭의 SNR이득을얻어낼수있을것이다. < 그림 8-10> 은 252km/h 고속도로 NLOS환경에서성능그래프를보여준다. 전체적으로도심교차로환경에비하여도플러효과로인한성능열화를확인할수있다. ACE기법의경우도에러전력의부정확성으로인해선택오류가일부발생할수있음을보여준다. 주목할만한부분은기존실내환경의 LS채널추정방식은고속도로 NLOS환경에서오류확률이 1로수렴하기때문에사용이불가능한것으로확인된다. 반면 TRFI를기반으로한 ICED, ICIC, MRC 채널추정기법들의경우고속도로 NLOS환경에서도상당한수준의데이터신뢰성을얻을수있음을확인할수있다. Ⅵ. 결론 본논문은차량통신시스템이요구하는두가지조건중주로신뢰성향상에중점을두고, 그동안연구되어온다양한 WAVE 채널추정기법들에대하여살펴보았다. 먼저고속이동하는차량간통신환경에서발생할수있는기존 WAVE 채널추정방식들의문제점을분석하고, 이러한문제점을해결하기위해최근제안된 advanced WAVE채널추정기법들에대하여소개하였다. 또한실측 채널을바탕으로한모의실험결과를통해성능이득과복잡도의상관관계등을분석하였고 advanced WAVE채널추정기법들의실현가능성을제시하였다. 향후 WAVE 시스템에서는신뢰성뿐아니라지연시간을줄이는것이또하나의핵심이슈가될것으로예상된다. 이러한두가지요구조건을모두만족시키기위해서는물리계층뿐아니라여러계층으로이루어져있는통신기술을단순화시키고각계층에서의오류를최소화하는등의통합적기술혁신이필요할것이다. Ⅶ. Acknowledgement 본논문은 2017년도정부 ( 미래창조과학부 ) 의재원으로한국연구재단신진연구자지원사업 ( 과제번호 : 2015R1C1A1A , 다중사용자무선채널에서물리계층보안을위한격자보안부호개발연구 ) 의지원과정보통신기술진흥센터 ( 과제번호 : B , 암호와물리계층보안을결합한 IoT 네트워크보안기술개발 ) 의지원및 2017년도한국교통대학교의지원을받아수행되었음. 참고문헌 [1] J. A. Fernandez, K. Borries, L. Cheng, B. V. K. Vijaya Kumar, D. D. Stancil, and F. Bai, Performance of the p Physical layer in vehicle-to-vehicle environments, IEEE Trans. Veh. Tech., vol. 61, no. 1, pp. 3 14, Jan [2] Z. Zhao, X. Cheng, M. Wen, C.-X. Wang, and B. Jiao, Channel estimation schemes for IEEE p standard, IEEE Intell. Transp. Syst. Mag., vol. 5, no. 4, pp , [3] Y.K. Kim, J.M. Oh, Y.H. Shin, C. Mun, Time and Frequency Domain Channel Estimation Scheme for IEEE p, proceeding of 2014 IEEE 17th International Conference on Intelligent Transportation Systems(ITSC), pp , Oct. 8-11, Qingdao, China. [4] 최주영, IEEE p 물리계층과매체접근제어계층에대한연구, 학위논문 ( 석사 ) 한국교통대학교일반대학원, [5] 임성묵, 송창익 IEEE p 기반차량간통신시스템에서 45 전자공학회지 _ 539

50 백승환, 한승호, 송창익 패킷에러율향상을위한반복적채널추정기법, 한국 정보기술학회논문지, vol. 14, no. 12, pp , Dec., [6] Kwak Kyungchul, Lee Sungeun, Min Hyunkee, Choi Sooyong, Hong Daesik, New OFDM channel estimation with dual-ici cancellation in highly mobile channel, IEEE Transactions on Wireless Communications, vol. 9, no. 10, pp , [7] Malik Kahn, IEEE Regulatory SC DSRC Coexistence Tiger Team - V2V Radio Channel Models, Feb 백승환 2012 년 3 월 ~ 현재한국교통대학교정보통신공학과학사과정 < 관심분야 > 무선통신, V2X, 채널추정, MIMO 한승호 2013 년 3 월 ~ 현재한국교통대학교정보통신공학과학사과정 < 관심분야 > 무선통신, V2X, 채널추정, MIMO 송창익 2001 년 3 월 ~2007 년 8 월고려대학교전자전기전파공학부학사 2007 년 9 월 ~2012 년 8 월고려대학교전자전기공학과박사 2012 년 9 월 ~2013 년 4 월고려대학교정보통신기술연구소연구교수 2013 년 5 월 ~2014 년 8 월 Imperial College London 박사후과정 2014 년 9 월 ~ 현재한국교통대학교정보통신로봇공학전공조교수 < 관심분야 > 5G 이동통신, 무선전력전송, C-ITS, 물리계층보안 540 _ The Magazine of the IEIE 46

51 특집 RF 무선전력전송기술과관련무선통신연구동향 RF 무선전력전송기술과 관련무선통신연구동향 Ⅰ. 서론 이경재한밭대학교전자 제어공학과김동완동아대학교전자공학과임용석전자부품연구원스마트네트워크연구센터 최근무선통신의발달로언제어디에서나끊임없이정보의접근을가능하게하는유비쿼터스 (Ubiquitous) 의시대가열리고있다. IoT(Internet of Things), 사물통신, 차량간통신등서기존의사용자간의서비스뿐만아니라사물간의수많은응용서비스가가능해질것으로기대되고있다. 이러한흐름에따라 5세대 (5G) 무선표준에서는좀더빠르고안정적으로거대연결통신을구현하기위해많은연구들이진행되고있다. 결과적으로 5G가상용화될경우가까운시기에다양한사용자, 사물, 기기들이이제는복잡한선없이도무선으로서로소통하고정보를전달공유하게될것이다 [1]. 이처럼그동안무선통신의발달은전자및통신기기에선을없애면서이동성과상시휴대성을제공하였다. 그러나이동기기의전원을공급하기위하여배터리를사용하고배터리충전을위해서는전원선을연결해야하는번거로움이남아있다 [1-2]. 이러한배터리충전의문제를해결하기위하여, 저전력기술및고효율집적배터리등의다양한시도들이있어왔다. 하지만배터리기술의비약적인발전에도불구하고, 최근무선기기는더많은계산복잡도와집적화를요구하며결과적으로배터리유지시간은이전에비해그리많이늘어나지못했고여전히배터리충전을위한시간이필요한상황이다. 최근에는충전선을연결해야하는불편함을해결하기위하여무선충전기술에대한관심이점차높아지고있다 [1-2]. 무선전력전송은전력도달거리에따라다양한방법이연구되어져왔으며, 단거리용으로는자기유도방식과자기공명방식을이용한무선전력전송이기술적으로성숙되어상용화및표준화가진행되고있다 [1-3]. 원거리전력전송의경우무선전자파 RF(Radio Frequency) 47 전자공학회지 _ 541

52 이경재, 김동완, 임용석 신호에담겨져전달되는에너지를수확하여이용하는방법으로최근실용화를위하여다양한관점의노력이지속되고있다 [3-5]. 전자파를이용한원거리무선전력전송은이동중에도언제어디서나충전이가능하기때문에무선통신기기의이동성을배가시킨다는점에서매우주목되는기술이다. 특히최근전자부품들의경우고도의집적화가가능하지만, 에너지저장장치의경우는소형화가어려워이동통신단말의무게와부피를줄이는데있어서가장큰어려움중하나이다. 원거리무선전력전송이가능할경우상시충전이가능하여에너지저장장치의용량을획기적으로줄일수있어이동통신기기의소형화도앞당길수있을것으로보인다 [2],[4]. 한편전자파의주파수자원은원거리무선전력전송에서이용되면서동시에무선통신의자원으로도활용될수있다 [3]. 따라서무선통신시스템에서무선으로전송된전자파의전력을이용하여통신과무선전력전송을동시에구현하고자하는연구가활발히진행되었다 [6-8]. 본논문에서는무선전력전송기술의최근개발동향과문제점및극복방향을분석하고, 주로이론적으로관심이집중되었던무선전력전송과결합된무선통신연구의최신흐름을소개하려고한다. Ⅱ. 무선전력전송기술동향 휴대전화, 웨어러블디바이스 (Wearable Device), 가전, 가구, 자동차등의다양한분야에서유선충전으로부터무선충전방식으로적용기술이점차변화하고있다. 최근상용화되어실제사용되고있는다양한무선충전기 기들의예들을 < 그림 1> 에서볼수있다. 그러나현재까지실용화된대부분의기술은비방사방식으로주로거치대위에매우한정된영역에서만충전되는경우가대부분이었다. 이러한방식은전원선을연결하지않고단순히거치대에올리기만하면충전이되는편리함을가지고있다. 그러나긴충전선을이용하면충전중에도어느정도위치이동과사용이가능한유선충전과는달리충전중에는위치이동을할수없는불편한점도있었다. 무선이기는하지만유선보다도이동에제약을가지는비방사방식의단점으로인해무선충전기술의확산은한계가있었던것이사실이다. 1. 비방사형무선전력전송 < 그림 2> 에서처럼비방사형무선충전방식은상대적으로저주파수대역인 KHz를이용하는자기유도기술과 MHz 대역을이용하는자기공진기술을주로활용한다. 이와는달리방사형무선전력전송기술에는전자파를이용하는마이크로파기술과레이져를이용한방식이존재한다. 전자파의특성상주파수가높을수록자유공간에서직진성이강하기때문에원거리전송에사용되고낮으면근거리전송에사용되게된다. 상용화된대표적인무선충전기술인자기유도방식은스마트폰및전기자동차의비접촉전원장치로가장많이활용되고있으며, 수 mm 거리안에서두개의코일이유도전류를일으켜전력을전송한다. 이기술은전송효율이매우높고구현이쉬운장점을가지지만, 전송거리가짧고코일이서로정렬되어있지않는경우전송효율이크게감소하는단점을가지고있다 [3]. 자기유도방식의표준화는 WPC(Wireless Power Consortium) 에서주도하고있으며, 전송전력을높여서충전시간을단축시키는방향으로기술이발전하고있다 [2]. < 그림 1> 무선전력전송충전기술의다양한상용화예 < 그림 2> 주파수에따른무선전력전송기술의분류 542 _ The Magazine of the IEIE 48

53 RF 무선전력전송기술과관련무선통신연구동향 < 그림 3> 무선전력전송기반충전기술의최신흐름 자기공진방식은수십 cm 내외로인접한근거리자기장을통해공진주파수를가지는두개의코일사이에전력이전달되는공명현상을이용하여전력을전송한다. 자기유도방식에비해원거리전송이가능하고송수신코일의방향성의자유도가높으나상대적으로전송효율이낮고전자기파에대한인체영향을피할수있는방법에대한연구가필요한상황이다 [3]. 표준화는주로 A4WP(Alliance for Wireless Power) 에의해진행되었으나, 최근에는자기유도방식표준단체인 Power PMA(Matters Alliance) 와합병하여 AirFuel Alliance를만들어서주도하고있다 [2]. 자기유도기반단체인 WPC 역시 45mm의이격거리에서작동하는공진형표준을진행하고있다. 이처럼최근비방사형무선충전기술의경우에도거리를증가하고충전방향의자유도를개선하려는방향으로연구가계속진행되고있다. 2. 방사형무선전력전송마이크로파무선전력전송방식은원거리충전이가능하고기기사용중에충전할수있는자유도를제공하여배터리수명등통신, IoT 기기의한계를극복할수있는기술로크게주목을받고있다. 특히기존에문제가되었던 1mW 이하의낮은에너지수확량과전자파인체노출등의안전성문제를해결하기위한노력이진행되었고, < 그림 4> 방사형무선전력전송기반충전기술의최근상용화예최근에는 1W 이상의전력을수 m의원거리에서전송하는기술이구현되어상용화시제품들이나오고있는상황이다. < 그림 3> 에서는자기유도형, 자기공진형방식기반의비방사형기술과마이크로파기반의방사형기술의차이점과특징을요약하여설명하였다. < 그림 4> 는최근몇개의선도기업에서선보인마이크로파기반의방사형무선전력전송송수신기의시제품들을보여준다. 특히미국의신생기업인 Ossia사는일본의통신기업인 KDDI와함께 COTA라는이름의무선충전시스템을개발하였다. COTA 시스템은 2.4GHz의 ISM 대역을이용하여충전기에서무선충전수신칩이부착된스마트폰, 태블릿, 노트북등의이동기기에최대 1W의전력을충전할수있도록설계되었다. 또한 Energous사는 WattUp이라는기술을개발하여무선충전수신지역에무선기기가들어가면자동으로충전이되며, 시스템사양에따라서최대 12개의장치를동시에무선충전할수있는제품을선보이고상용화를준비중이다. 이들기술들은대부분다중어레이안테나 (Array 49 전자공학회지 _ 543

54 이경재, 김동완, 임용석 Antenna) 를사용한빔포밍 (Beamforming) 기술을사용하여충전단말에에너지를집중하여효율을향상시킨다. TechNovator사의 XE란모델은큐브모양의 RF 송신기와스마트폰케이스형태의수신기제품으로 5m 거리에서스마트폰을충전할수있다. 이제품들은단말이이동하는경우전송빔의방향이적응적으로맞춰지면서상시충전하는것이가능해서무선충전의개념을근본적으로변화시킬기술로평가되고있다. 그러나마이크로파무선전력송수신기의잇따른개발에도불구하고폭발적인시장확산을위해서는여전히전송효율과이동성, 인체안정성에대한면밀한검증과추가연구가필요한상황이다. Ⅲ. RF 무선전력전송관련연구 마이크로파기반의방사형 RF 무선전력전송을구현하기위한기반연구가활발히이루어지고있다. 특히다중안테나를이용한빔포밍기술은방사형방식의낮은효율을극복하기위하여가장중요한기술중하나이다. 다중어레이안테나빔포밍기술은무선통신에서도매우중요한요소기술로이용되어져왔던만큼기술적인성숙도는이미매우높다고할수있다. 본장에서는먼저마이크로파기반의무선전력전송에서효율성및인체안전성을증대하기위한연구들을소개한다. 또한마이크로파기반무선전력전송과무선통신을접목한 무선전력및정보동시전달 기술과 무선전력전송기반통신네트워크 와관련된최근연구들을살펴본다. 1. 마이크로파무선전력전송방사형마이크로파기반무선전력전송의낮은전송효율을높이기위하여다중안테나빔포밍은반드시필요한기술중하나이다. 무선통신다중안테나 MIMO(Multiple-Input Multiple-Output) 기법에서채널추정을기반으로 MRT(Maximum Ratio Transmit) 혹은 Maximum Eigenvalue 빔포밍을통해수신단에서의전력크기를최대화할수있다. 무선송수신채널의대칭성을이용하면수신단에서보낸비콘 (Beacon) 또 < 그림 5> 분산송신단에서효율성과인체안전성증대를위한빔전력할당의예 는파일럿 (Pilot) 신호를송신단이받아서송신단에서수신단까지의 MIMO 채널을추정할수있다 [3]. 수신단안테나가하나일때송신단기저대역에서추정된채널의 Conjugate Transpose를곱하여전송하면 MRT 기법을적용할수있다. 이러한방식을 RF단에서구현하면수신신호의위상을계산하여단순히 Conjugate된위상을곱하여전력신호를보내면되고, 이러한전력빔포밍방식을레트로빔포밍 (Retro Beamforming) 이라고한다 [3],[8]. 레트로빔포밍에서는수신단에빔을집중시키기위하여보내지는비콘신호가매우중요한역할을하게된다. 비콘신호를자주크게보낼수록전력의전송효율은높아지게될것이지만, 수신단에서에너지를소모하게되어결과적으로순수수확되는에너지는줄어들수있다. 또한다중사용자를고려하는경우다중사용자간에비콘신호를효율적으로전송하는프로토콜을만드는것이중요하다. 최근 [9] 에서는다중사용자동시충전을위한무선빔포밍비콘신호의최적화를다루었고, 또한무선전력전송을위한채널추정에대한모델링및효율적인기법연구가 [10] 에서수행되었다. [3] 에서는실제무선전력수신회로에서의효율이선형적이지않은점을밝히고, 단일사용자뿐만아니라다중사용자를고려하는상황에서전송빔과전력할당을최적화하는연구를수행하였다. 또한모의실험을통해다중 544 _ The Magazine of the IEIE 50

55 RF 무선전력전송기술과관련무선통신연구동향 분산송신단시스템이수신전력의 Outage 확률을낮출수있다는사실을보여준다. [11] 에서도분산에너지송신단을고려한피드백설계가연구되었다. 비콘신호를이용하는레트로빔포밍은전력전송효율을높일뿐만아니라, 전자파빔이인체에의해가로막히는경우신속히다른경로를채택할수있게하여인체안정성을높일수있는기술로고려되고있다. 특히인체안전성을높이기위하여분산에너지송신단도중요한역할을할것으로보인다 [11]. < 그림 5> 에서처럼분산송신단을이용하면한송신단의빔경로가인체에의해막힐경우다른송신단의빔을사용할수있어효율성과안전성을동시에확보할수있게된다. 이때분산송신단의위상동기를통해빔포밍신호들이수신단에서결합될수있도록하는기술이중요할것으로예상되며이에대한심도깊은추가연구가필요할것으로보인다. 2. 무선전력및정보동시전달무선 RF 신호는무선으로정보뿐만아니라전력을동시에전송할수가있다. 무선통신수신단에서는송신단에서받은신호로부터송신정보를검출하고동시에수신신호에담겨있는전력을모을수있다 [6]. 이를무선전력및정보동시전달 (SWIPT: Simultaneous Wireless Information and Power Transfer) 기법으로부르며, 수신 RF 신호의전력을나누거나시간을분할하는방법을이용한다 [6],[7]. 이때분할되는수신전력또는시간의비율을조정하여수신데이터용량과전력수확량사이에서최적의값을찾을수있다 [7]. 이러한 SWIPT 기법은기존의다양한무선통신시스템에적용하여확장할수있다. [7] 에서는다중사용자하향전송채널에서의데이터용량및전력수확량사이의최적화알고리즘이제안되었다. 또한간섭채널에서 SWIPT를적용한상황에서의최적전송기법이연구되었고 [12],[13], [14] 에서는 MMSE(Minimum Mean Square Error) 관점에서 MIMO 다중사용자채널에서에너지수확조건을가지는문제를풀었다. 한편릴레이시스템에서무선전력전송방식을도입하는연구도많은관심을받고있다. 특히 IoT에서고려되 는 D2D(Device to Device) 통신에서는다양한기기들이릴레이의역할을하는네트워크환경이고려될수있다. 그러나신호중계를도와주는단말은배터리에너지를사용하는손해를감수해야만한다. 이러한경우 SWIPT 방식을통해협력노드에전력과정보를동시에공급한다면, 휴지기에있는단말들에게전력을공급하는대신중계통신에참여하도록유도할수있게된다 [15],[16]. 또한 SWIPT를이용하여하향채널에서송신단에서수신단으로정보와전력을동시에전송하고, 이때수신단에서수확된전력으로상향통신까지수행하는방식을고려할수있다. 이때하향통신과상향통신데이터용량을동시에최적화하는문제를풀수있다. 3. 무선전력전송기반통신네트워크무선전력과무선통신을동일주파수와시간동안동시에하지않고먼저무선전력전송을수행한후얻어진전력을이용하여정보를전송하는방식을무선전력전송기반통신네트워크 (WPCN: Wireless Powered Communication Network) 라고부른다. [18] 에서는셀룰러시스템에서랜덤하게분포하고있는무선전력송출기를이용한에너지수확특성을확률기하이론을이용하여분석하였다. [19] 에서는다중사용자들을고려할때시간분할다중접속방식을이용한무선전력전송기반의통신방식이연구되었고, [20] 에서는에너지저장장치의특성에따라서전송시간과전송전력을최적화는문제를고려하였다. 또한전력신호의경우정보를포함하지않기때문에미리약속된고정된신호를송출하면되고수신단에서채널정보만정확히추정할수있다면간섭신호를수신신호에서제거할수있다. 이렇게전력신호간섭제거기법에기반한 full duplex 통신이가능하게되며, 이에대한연구가 [19] 에서진행되었다. [21] 에서는다중사용자다중안테나상향무선전력전송과하향통신채널을가지는시스템에서송신단프리코더및최적시간할당문제의솔루션이도출되었다. 4. 향후연구방향기본적으로방사형무선전력전송에기반한통신 51 전자공학회지 _ 545

56 이경재, 김동완, 임용석 시스템연구는다중안테나를이용하는 MIMO 상황과 OFDM(Orthogonal Frequency Division Multiplexing) 방식을적용하여다른채널환경으로의확장이고려될수있다. 방사형무선전력전송의낮은효율을극복하기위하여 Massive MIMO를고려하는상황에서 SWIPT 또는 WPCN에대한연구가필요하다. 특히비콘신호의최적화설계가실제수확되는순수전력량을크게좌우할수있기때문에다중사용자, 채널환경등다양한상황에서비콘신호를최적화하는연구는중요한의미를가질것이다. 또한기존에강한간섭신호를가지는통신환경은오히려무선전력전송관점에서는유리하게동작할수있고, OFDM의높은 PAPR(Peak-to-Average Power Ratio) 나 Cyclic Prefix 등의경우통신관점에서는성능열화의원인이되지만무선전력전송관점에서는전력수확을증대시킬수있게된다. 이를이용한다양한채널환경에서의연구가흥미로울것으로보인다. RF 신호를이용한무선전력전송에있어서가장큰문제점중하나는높은전력을송출하는전자파로인한인체유해성문제이다. 인체가전자파를흡수하는정도를나타내는 SAR(Specific Absorption Rate) 등을모델링하고, 이를제약조건으로제한하면서데이터용량또는전력수확량을최대화하는전송기법에관한연구가요구되어진다. 또한실제무선전력전송시에배터리를통해수확되는전력량은비선형적인특성을가진다 [3]. 이러한비선형선을고려한연구가여러상황에서추가적으로이루어질수있을것이다. 마지막으로무선전력전송은배터리의용량을비약적으로줄일수있는가능성을가진기술이기때문에배터리용량과무선전력전송관련문제들의연관관계를푸는것도의미가있을것이다. Ⅳ. 결론 본고에서는무선전력전송기술의동향과마이크로파를이용한 RF 방사형무선전력전송과통신을결합하는연구에대하여살펴보았다. 마이크로파기반의원거리무 선전력전송은전자기기의이동성관점에서이용의편의성을증대시킬뿐만아니라, 에너지저장장치의수명을개선시킬수있어셀룰러시스템뿐만아니라센서네트워크등의다양한분야에서기대되는기술중하나이다. 현재세계적으로무선전력전송에대한연구와개발이활발히이루어지고있으며국내에서도이에대한관심과연구가지속적으로필요하다고판단된다. Acknowledgement 본연구는부분적으로한국전력공사의 2016년도선정기초연구개발과제연구비에의해지원되었고 ( 과제번호 : R17XA05-71), 2017년도정부 ( 산업통상자원부 ) 의재원으로한국산업기술평가관리원의지원을받아수행된연구임 (No , 웨어러블디바이스용무구속멀티모달무선에너지공급기술개발 ) 참고문헌 [1] K. Huang and X. Zhou, Cutting the Last Wires for Mobile Communications by Microwave Power Transfer, IEEE Communications Magazine, vol. 53, no. 6, pp , June 2015 [2] S. M. Kim, S. W. Kim, J. I. Moon, and I. K. Cho, Trends and Future Directions of Wireless Charging, Electronics and Telecommunications Trends, vol. 31, no. 3, pp , June 2016 [3] Y. Zeng, B. Clerckx, and R. Zhang Communications and Signal Design for Wireless Power Transmission, IEEE Transactions on Communications, vol. 65, no. 5, pp , May 2017 [4] M. M. Tentzeris and Y. Kawahara, Design Optimization and Implementation for RF Energy Harvesting Circuits, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, pp , March [5] M. Piuela, P. D. Mitcheson, and S. Lucyszyn, Ambient RF Energy Harvesting in Urban and Semi-Urban Environments, IEEE Transactions on Microwave Theory and Techniques, vol. 546 _ The Magazine of the IEIE 52

57 RF 무선전력전송기술과관련무선통신연구동향 61, pp , July [6] C. K. Ho and R. Zhang, Optimal Energy Allocation for Wireless Communications With Energy Harvesting Constraints, IEEE Transactions on Signal Processing, vol. 60, pp , September [7] R. Zhang and C. K. Ho, MIMO Broadcasting for Simultaneous Wireless Information and Power Transfer, IEEE Transactions on Wireless Communications, vol. 12, pp , May [8] R. Y. Miyamoto and T. Itoh Retrodirctive Arrays for Wireless Communications, IEEE Microwave Magazine, vol. 3, no. 1, pp , March 2002 [9] S. Lee, Y. Zeng, and R. Zhang, Retrodirective Multi-User Wireless Power Transfer with Massive MIMO, submitted to IEEE Wireless Communications Letters, Online: abs/ [10] K. W. Choi, D. I. Kim, and M. Y. Chung Received Power- Based Channel Estimation for Energy Beamforming in Multiple-Antenna RF Energy Transfer System, IEEE Transactions on Signal Processing, vol. 65, no. 6, pp , March [11] S. Lee and R. Zhang, Distributed Wireless Power Transfer With Energy Feedback, IEEE Transactions on Signal Processing, vol. 65, no. 7, pp , April 2017 [12] J. Park and B. Clerckx, Joint Wireless Information and Energy Transfer in a Two-User MIMO Interference Channel, IEEE Transactions on Wireless Communications, vol. 12, pp , August [13] H. Lee, S.-R. Lee, K.-J. Lee, and I. Lee, Optimal Beamforming Designs for Wireless Information and Power Transfer in MISO Interference Channels, IEEE Transactions on Wireless Communications, vol. 14, no. 9, pp , Sept [14] C. Song, J. Park, B. Clerckx, I. Lee, and K.-J. Lee, Generalized Precoder Designs Based on Weighted MMSE Criterion for Energy Harvesting Constrained MIMO and Multi-user MIMO Channels, IEEE Transactions on Wireless Communications, vol. 15, no. 12, pp , December [15] A. A. Nasir, X. Zhou, S. Durrani, and R. A. Kennedy, Relaying Protocols for Wireless Energy Harvesting and Information Processing, IEEE Transactions on Wireless Communications, vol. 12, pp , July [16] S. Mahama, D. K. P. Asiedu, and K.-J. Lee, Simultaneous Wireless Information and Power Transfer for Cooperative Relay Networks With Batter, to appear in IEEE Access, August 2017 [17] H. Lee, K.-J. Lee, H. Kim, and I. Lee, New Communication Protocol for Energy-constrained Communication Systems with Wireless Information and Power Exchange, submitted to IEEE Transactions on Wireless Communications, March 2017 [18] K. Huang, Enabling Wireless Power Transfer in Cellular Networks: Architecture, Modeling and Deployment, IEEE Transactions on Wireless Communications, vol. 13, no. 2, pp , February 2014 [19] H. Ju and R. Zhang, Optimal Resource Allocation in Full- Duplex Wireless-Powered Communication Network, IEEE Transactions on Wireless Communications, vol. 62, no. 10, pp , Octobor [20] H. Lee, K.-J. Lee, H. Kim, B. Clerckx, and I. Lee, Resource Allocation Techniques for Wireless Powered Communication Networks with Energy Storage Constraint, IEEE Transactions on Wireless Communications, vol. 15, no. 4, pp , April 2016 [21] H. Lee, K.-J. Lee, H.-B. Kong, and I. Lee, Sum Rate Maximization for Multi-user MIMO Wireless Powered Communication Networks, IEEE Transactions on Vehicular Technology, vol. 65, no. 11, pp , Nov 전자공학회지 _ 547

58 이경재, 김동완, 임용석 이경재 임용석 2005 년 8 월고려대학교전기전자전파공학부학사 2011 년 2 월고려대학교전자전기공학과박사 2011 년 3 월 ~2011 년 9 월고려대학교전자전기공학과연구교수 2011 년 10 월 ~2012 년 8 월 The University of Texas at Austin 전기컴퓨터공학과박사후연구원 2012 년 9 월 ~ 현재한밭대학교전자 제어공학과부교수 2001 년고려대학교전기전자전파공학부학사 2003 년고려대학교전자공학과석사 2017 년고려대학교전자공학과박사 2007 년 ~ 현재전자부품연구원스마트네트워크센터책임연구원 < 관심분야 > 무선전력전송, 자기장통신, 통신 SoC, Embedded System 설계 < 관심분야 > 5G Communications, Wireless communications, MIMO, Cooperative communications, Wireless power transfer 김동완 2003 년 8 월고려대학교전기전자전파공학부학사 2006 년 2 월포항공과대학교정보통신학과석사 2015 년 2 월고려대학교전기전자전파공학과박사 2006 년 2 월 ~2017 년 2 월삼성전자네트워크사업부책임연구원 2017 년 3 월 ~ 현재동아대학교전자공학과조교수 < 관심분야 > Low power embedded system design, High efficient communication protocol 548 _ The Magazine of the IEIE 54

59 특집 하이브리드인쇄전자기술을이용한고주파무선전력수확기 하이브리드인쇄전자 기술을이용한고주파무선 전력수확기 Ⅰ. 서론 김상길 Qualcomm Inc., 샌디에고, 미국 인쇄기술은우리의삶속에서쉽게접할수있다. 수많은사적 / 공적문서와신문등정기간행물이매일같이인쇄되고있고, 티셔츠에새겨진재미난캐릭터와그림들도인쇄기술을통해서우리들의일상생활에서친근하게다가온다. 최근에는염료를인쇄하는것을넘어서 3D 프린팅기술이상용화되면서예술모형부터생체조직, 전자기기패키지까지도인쇄되고있다. 이처럼고도화된인쇄기술을통해누구나다양한물질들을원하는형태와구조로손쉽게인쇄할수있게되면서, 기술의파급력은상상을초월하게되었다. 그리고인쇄기술의장점에힘입어그역할이 4차산업혁명의핵심이자주변의사물과환경에지능과기능성을부여하는사물인터넷 (IoT) 기술연구에있어많은기대와주목을받고있다. 근래에는금속과중합체 (Polymer) 잉크를이용하여간단한인덕터, 축전기부터비선형물질잉크를이용한다이오드, 자성물질, 트랜지스터까지인쇄한전자회로들이보고된바있다. 더나아가서고주파회로를위한도파관 (Waveguide), 안테나, 필터등도인쇄기술로구현되었으며, 메모리, 디스플레이까지도인쇄기술로제작되는수준에이르렀다. 눈부신인쇄전자기술의발달로인해서기존의실리콘기반의집적회로로구현하기힘들었던기능들을인쇄전자기술이보완하기시작하였고, 최근에는이둘을융합한하이브리드인쇄전자기술에대한연구가활발히진행되고있다. 무선통신기기들의중요한성능지표가운데가장중요한요소중하나는바로전력이다. 즉, 전력을최대한적게소비하면서원활하게목표한기능을수행하는통신기기의설계는한정된배터리전력을효율적으로사용하는데매우중요한요소이다. 최근에는배터리의도움없 55 전자공학회지 _ 549

60 김상길 이주변의물리 / 화학 / 기계에너지를사용가능한전기에너지로전환하는에너지수확기술이학계에서큰주목을받고있다. 그중에서도한번에여러기기들에게동시에전력을공급할수있고, 여러장애물을넘어서가시거리밖에서도전력을공급해줄수있는무선전력수확기술이저전력통신 / 센서플랫폼에적절한기술로서주목받고있다. 요컨대, 하이브리드인쇄전자기술과무선전력수확기술의융합을통해서, 미래사회의기반시설로서사용될반영구적차세대통신 / 센서플랫폼의실현에한걸음더다가갈수있을것이다. Ⅱ. 고주파인쇄회로 고주파대역 (MHz ~ GHz) 에서동작하는안테나와필터같은고주파회로및소자를인쇄하는데있어서필수적인기술은전도층 (Conductive layer) 을원하는물질 (Substrate) 에원하는형태로구현하는것이다. 인쇄회로의장점인유연성 (Flexibility) 을가지면서잉크형태로쉽게구현할수있는물질은크게두가지종류가있다. 중합체 (Polymer) 를이용하는방식과나노입자를이용하는방식이그것이다. 중합체를이용한전도성잉크는 PEDOT:PSS를주로사용하고, 전기전도성 (σ = 20 ~ S/m) 은비교적낮지만투명하고상당히유연한전도층을구현할수있다. 이러한장점때문에모바일디스플레이, 정전기방지코팅등에널리사용된다. 나노입자를이용한방식은주로전도성이높은구리 (Cu), 은 (Ag), 금 (Au) 등을사용하며, 금속을10 ~ 50 nm 크기의나노입자로만든후용매와섞어잉크로만들어사용한다. 나노입자를인쇄하여구현한전도층은인쇄후소결 (Sintering) 과정이필요하고, 처리방식과잉크구성에따라본래물질의대략 1/10 정도의전기전도성 (σ = 10 6 ~ 10 7 S/m) 을가진다. 나노입자를이용한전도층은비교적우수한유연성을가지며, 높은전기전도성때문에전자기차폐, 안테나인쇄등의목적으로산업현장과학계에서널리사용되고있다. 수많은나노입자들중에서은나노입자 (Silver Nanoparticle) 를이용한잉크는높은전기전도성과비교적낮은소결온도 (100 ~ 200 C) 로인하여고주파회로설계에가장널리사용되고있다. < 그림 1> 은잉크젯인쇄기를통해인쇄된후열처리소결과정을마친은나노입자를보여주고있으며, 이때사용된잉크방울의부피는 10pL이다. AFM(Atomic Force Microscope) 를통해본인쇄된은나노입자는평균약 10~20 nm의표면거칠기 (Surface Roughness) 를가지고있고, < 그림 2> 에따르면인쇄횟수당약 0.5 um씩금속두께가증가하는것을볼수있다. 이때얻은전기전도성은약 S/ m이며, 안테나, 센서, RFID, AMC (Artificial Magnetic Conductor) 등의다양한응용고주파기기들이소개된바있다 [1]. 한걸음더나아가서비전도성중합체 ( 예 : Su- 8, PVP, PMMA, 등 ) 와반도체성중합체 ( 예 : Pentacene, < 그림 1> AFM 으로본인쇄된은나노전도막표면 < 그림 2> 인쇄횟수에따른은나노전도막두께 550 _ The Magazine of the IEIE 56

61 하이브리드인쇄전자기술을이용한고주파무선전력수확기 < 그림 3> 염화팔라듐촉매제를이용해간접적으로인쇄된구리막 < 그림 5> 고주파무선전력수확기의응용예 < 그림 4> 하이브리드인쇄전자기술 ITO 등 ) 를이용하면더욱진보된인쇄회로를꾸밀수있고, 다층구조를구현하기위한 Via도인쇄기술로구현할수있다 [2]. 반면에나노입자를이용한전도층이본질적으로가지고있는금속의박막성, 납땜의어려움그리고반도체성중합체의낮은전자이동도 (Electron Mobility) 때문에고주파회로설계와집적도에제한이있다. 이러한어려움을극복하기위해서, 간접금속인쇄기술이개발되었다 [3]. 염화팔라듐 (PdCL 2 ) 촉매제를인쇄한후에, 구리수용액을이용해서무전해도금방식으로구리막을생성하였다. 금속두께는도금시간에비례해서증가하며, 약 S/m 의전기전도성으로가진다. < 그림 3> 은인쇄된은나노입자와염화팔라듐촉매잉크를이용해 50분간구리수용액에서만들어진구리막을보여준다. 구리는납땜이쉽기때문에표면실장기술 (Surface Mounting Technology) 을이용하여실리콘기반의집적회로와인쇄회로기판부터인쇄고주파수동소자들 (Printed Microwave Passive Components) 을통합한하이브리드인쇄전자기술 (Hybrid Printed Electronics) 로발전했다 [4]. < 그림 4> 는하이브리드인쇄전자기술의개념을간략하게소개하고있다. 이는최첨단실리콘기술에기반한마이크로컨트롤러, ADC, 트랜지스터등의고성능 고집적도의집적회로 (IC) 와넓은면적에손쉽게유연성높은회로를구현할수있는인쇄전자기술 ( 안테나, 인덕터, < 그림 6> 에너지수확기의기본구조축전기, 센서등 ) 의장점을통합하고, 각기술의단점을보완하는기술이다 [5]. 스마트스킨과같은 LAE (Large Area Electronics) 에많이응용되고있으며, 사물인터넷 (IoT) 구현에필수적인센서 / 통신플랫폼으로서그가능성을시사했다 [6]. 또한기존의인쇄회로기판 (PCB) 기술보다더욱낮은생산비용과짧은제작시간을가지며, 잘갖춰진기존의생산인프라구조와호환이용이하기때문에대량으로전개되는센서 / 통신노드 (Node) 의구현에적절한기술이다. Ⅲ. 고주파 (RF) 무선전력수확기술 고주파무선전력수확기술은무선전력전송의한방식으로서근 중거리에서일어나는커플링이나자기공명 (Magnetic Resonance) 방식과는다르게원시야 (Far Field) 에서전자기장의에너지를수확하는기술이다. < 그림 5> 와같이원거리에서특정공간의전자기장전력밀도 (mw/cm 2 ) 를조절하여, 한번에여러통신 / 센서기기들에게전력을공급할수있다. 그러므로전개되어있는저전력사물인터넷기기들을필요에따라구동시키거나 (On-Demand 방식 ), 배터리와같은주동력원을충전 / 보조하고다른에너지원 ( 열, 진동, 태양광등 ) 과융합하여 57 전자공학회지 _ 551

62 김상길 < 그림 7> 차지펌프를이용한무선전력수확기및센서 / 통신플랫폼 시스템을가동하는방식으로응용될수있기에사물인터넷및차세대통신시스템구현의핵심기술이라말할수있다. < 그림 6> 은기본적인에너지수확기의구조를나타내고있다. 에너지수확기는태양광, 열, 진동, 전자기에너지처럼주위에산재해있는기계 물리에너지를사용가능한직류전기에너지로전환하여배터리나고용량축전기 (Capacitor) 에저장하고, 이를효율적으로관리하여센서 / 통신기기에전력을공급하는역할을한다. 원활한전력수급을위해서여러에너지원을통합하여사용하는기술도활발히연구되고있다 [7]. 안테나를통해방출된전자기에너지를수확하는기술에대한연구는많은관심을끌었으며, 그중에서도주변에서쉽게찾을수있는 RFID, TV 그리고무선통신주파수대역의에너지수확기술에대한연구가활발히진행되었다. 862 ~ 928 MHz 주파수대역을통해싱글톤 (Single Tone) 의신호를사용하는 RFID기술은약 4 ~ 5m의중거리에서높은효율을보였으며, 비교적높은전력밀도에서작동하는에너지수확기가보고되었다 [8],[9]. 모바일무선통신용주파수대역 (GSM, 3G, WiFi 등 ) 과디지털 TV주파수대역에서무선전력을수확하는기술은에너지원과먼거리 ( 약 6 km) 에서작동하지만, 상대적으로낮은전력밀도와다중주파수대역의전력을수신할수있는정류회로와고이득 (High Gain) 안테나의설계가핵심이다 [7],[10]. < 그림 7> 은 UHF 주파수대역의디지털TV 신호 (512 MHz ~ 566 MHz) 를수신하여마이크로컨트롤러를배 < 그림 8> 하이브리드인쇄기술로구현된무선전력수확기 < 그림 9> 하이브리드인쇄전자기기의유연성실험터리없이주기적으로동작시키도록설계된센서 / 통신용플랫폼이다 [7]. 소형화된광대역야기-우다 (Yagi-Uda Antenna) 를이용하여수집된전자기에너지는차지펌프 (Charge Pump) 회로를통해서정류되고전압이 1.8 V 이상으로증폭된다. 반파 (Half-wave) 혹은전파 (Fullwave) 정류회로는교류-직류에너지전환에는효율적이나, 주변전자기전력밀도가낮은경우에는센서 / 통신플랫폼에필요한 1.8V이상의전압을생성하기가어렵다. 또한저전력마이크로프로세서의경우, 디지털회로의동 552 _ The Magazine of the IEIE 58

63 하이브리드인쇄전자기술을이용한고주파무선전력수확기 작을위해서 1.8 V혹은 0.9 V의고정된전압과낮은전류 (μa~na 단위 ) 를요구하기때문에차지펌프회로를통해원하는정류 승압효과를얻을수있다. 이를바탕으로, 하이브리드인쇄전자기술을이용한 RFID 주파수대역 (900 MHz) 무선전력수확기가보고되었다 [4]. < 그림 8> 은하이브리드인쇄기술로구현된무선전력수확기를보여주고있다. 루프 (Loop) 안테나를통해얻어진 RFID대역의전자기에너지는차지펌프회로를통해서정류 승압과정을거친후, 직류-직류변압회로 (DC-DC Converter) 를통해최종적으로 3.0 V로변환되어통신 / 센서회로에공급된다. 차지펌프회로에서 0.9 V 이상의출력전압을직류-직류변압회로에공급한다면, 이는곧안정적으로 3.0 V로전환된다. 이때, 부하저항 (Load) 으로 4.72 kω이연결된다면, 전자기-직류에너지전환효율은약 17% 가량된다. 부하저항 4.72 k Ω은 0.9V환경에서 200 μa를소모하는저전력마이크로컨트롤러를등가저항으로모형화 (Modeling) 한값이다. < 그림 9> 는하이브리드인쇄전자기술의물리적유연성을보여주고있다. 반지름이 4 cm인원통위에가로 / 세로방향으로부착한후, 회로의전기적성능의변화를측정했다. 안테나만이물리적외형변화에따라동작주파수대역 (Bandwidth, BW) 이변하였지만, 정류 승압회로의성능에는변화가없었다. 하이브리드인쇄전자기기의유연성은집적회로와표면실장소자 ( 축전기, 다이오드 ) 에의해제한을받지만, 이들을이어주는전도층 ( 구리 ) 과기반물질 (Substrate) 의물리 / 기계적특성덕분에고성능을유지하면서도유연한통신 / 센서플랫폼을구현할수있었다. Ⅳ. 전망과결론 인쇄전자기술은기존의실리콘기반의고성능 고집적기술과융합하여서로의단점을보완하는방향으로발전해나아가는중이다. 특히나넓은면적에손쉽게통신 / 센서회로를인쇄할수있기에로봇 / 기계공학과결합하여인공피부및스마트스킨 (Smart Skin) 기술연구에큰축을담당하고있다. 기존의고밀도집적회로는스마 트스킨에서발생한아날로그 / 디지털신호들을취합하여빠르고정확하게분석함으로써각기술의장점과단점을상호보완하는하이브리드인쇄전자기술로이어졌다. 인쇄전자회로특유의유연성덕분에제한적인공간에도통신 / 센서시스템을구축할수있으며, 비용이적게들고대량인쇄가쉽기때문에사물인터넷처럼대규모통신 / 센서시스템을요구하는기술에적합하다. 또한, 인쇄전자기술은 RFID기술을바탕으로하는안테나기반센서구현에뛰어난적합성을보여준다. RFID 안테나는이미인쇄기술을이용해제작되고있고, 기존의 RFID 안테나에인덕터혹은축전기를이용한센서를추가적으로인쇄하여손쉽게센서시스템을구현할수있으므로많은연구가활발하게진행되고있다. 차세대통신 / 센서플랫폼을이용한사물인터넷시스템을구축하는데있어서논의되는쟁점들중상용화에있어서가장큰두가지쟁점을뽑으라면, 하나는전력수급이고다른하나는생산비용일것이다. 많은연구결과들이저전력 저비용통신 / 센서기술을주제로발표되었지만, 두가지모두를만족시키는결과를찾기는어려웠다. 하지만에너지수확기술과인쇄전자를융합하여통신 / 센서시스템을구축한다면반영구적으로동작하면서도비교적낮은비용으로도구현이가능할것이다. 따라서무선전력만이아니라열, 진동, 태양에너지와같이형태와성격이다른에너지원에서얻은에너지를효과적으로통합하고통신 / 센서시스템에안정적으로전력을공급해주는연구가필요할것이다. 또한, 전자기전력밀도가낮은지역에서도무선전력을수확할수있도록민감도 (Sensitivity) 가높은정류회로의설계와낮은전력을효과적으로관리하는전력관리알고리즘에대한연구가필수적이다. 무선전력을보내는송신부에서도적은전력으로도수신기기들에효율적으로전력을전달하기위한파형 (Waveform) 이나송신방식에대한논의도좋은연구주제가될것이다. 참고문헌 [1] S. Kim, B. Cook, T. Le, J. Cooper, H. Lee, V. Lakafosis, R. Vyas, R. Moro, M. Bozzi, A. Georgiadis, A. Collado and 59 전자공학회지 _ 553

64 김상길 M. M. Tentzeris, Inkjet-printed Antennas, Sensors and Circuits on Paper Substrate, IET Microwaves, Antennas, and Propagation, vol. 7, no. 10, Jul. 2013, pp [2] S. Kim, A. Shamim, A. Georgiadis, H. Aubert and M. M. Tentzeris, Fabrication of Fully Inkjet-printed Vias and SIW Structures on Thick Polymer Substrates, vol. 6, no. 3, Feb. 2016, pp [3] B. Cook, Y. Fang, S. Kim, T. Le, W. B. Goodwin, K. H. Sandhage and M. M. Tentzeris, Inkejt Catalyst Printing and Electroless copper Deposition for Low-cost Patterned Microwave Passive Devices on Paper, vol. 9, no. 5, Sep. 2013, pp [4] S. Kim, J. Bito, S. Jeong, A. Georgiadis and M. M. Tentzeris, A Flexible Hybrid Printed RF Energy Harvester Utilizing Catalystbased Copper Printing Technologies for Far-field RF Energy Harvesting Applications, 2015 IEEE MTT-S International Microwave Symposium (IMS), Phoenix, AZ, USA, May [5] R. A. Street, T. N. Ng, D. E. Schwartz, G. L. Whiting, J. P. Lu, R. D. Bringans and J. Veres, From Printed Transistors to Printed Smart Systems, Proceedings of IEEE, vol. 103, no. 4, May 2015, pp [6] A. C. Arias, J. D. MacKenzie, I. McCulloch, J. Rivnay and A. Salleo, Materials and Applications for Large Area Electronics: Solution-Based Approaches, Chemical Reviews, vol. 110, no. 1, Jan. 2010, pp [7] S. Kim, R. Vyas, J. Bito, K. Niotaki, A. Collado, A. Georgiadis, M. M. Tentzeris, Ambient RF Energy-harvesting Technologies for Self-sustainable Standalone Wireless Sensor Platforms, Proceedings of the IEEE, vol. 102, no. 11, Nov. 2014, pp [8] A. P. Sample, D. J. Yeager, P. S. Powledge, A. V. Mamishev and J. R. Smith, Design of an RFID-based Battery-free Programmable Sensing Platform, IEEE Transactions on Instrumentation and Measurement, vol. 57, no. 11, Nov. 2008, pp [9] D. D. Donno, L. Catarinucci and L. Tarricone, An UHF RFID Energy-harvesting System Enhanced by a DC-DC Charge Pump in Silicon-on-Insulator Technology, IEEE Microwave and Wireless Components Letters, vol. 23, no. 6, Jun. 2013, pp [10] R. Shigeta, T. Sasaki, D. M. Quan, Y. Kawahara, R. Vyas, M. M. Tentzeris, T. Asami, Ambient RF Energy Harvesting Sensor Device With Capacitor-Leakage-Aware Duty Cycle Control, IEEE Sensors Journal, vol. 13, no. 8, May 2013, pp 김상길 2010 년 8 월연세대학교전자공학과학사 2011 년 12 월 Georgia Institute of Technology, Electrical and Computer Engineering 석사 2014 년 12 월 Georgia Institute of Technology, Electrical and Computer Engineering 박사 2013 년 1 월 ~2013 년 3 월 KAUST (King Abdullah University of Science and Technology, Thuwal, Saudi Arabia) 방문연구원 2013 년 4 월 ~2013 년 5 월 CTTC (Centre Tecnologic de Telecomunicacions de Catalunya, Barcelona, Spain) 방문연구원 2013 년 5 월 ~2013 년 6 월 LAAS-CNRS (Laboratoire d'analyse et d'architecture des Systemes, Toulouse, France) 방문연구원 2015 년 1 월 ~ 현재 Qualcomm Technologies, Inc., Senior Engineer 2015 년 8 월 IET Microwaves, Antennas and Propagation Premium Award < 관심분야 > 무선에너지수확기술, 인쇄고주파전자기기, 5G 초단파위상배열안테나, RF 모듈설계 554 _ The Magazine of the IEIE 60

65 특집 인지무선및고속의신호정보수집을위한채널화한수신기기술 인지무선및고속의 신호정보수집을위한 채널화한수신기기술 Ⅰ. 서론 김주성 한밭대학교전자 제어공학과 현대에는다양한무선통신규격이존재할뿐만아니라사용되고있는무선통신기기의숫자역시기하급수적으로증가하고있다. 따라서미래의무선통신기기는이러한다양한통신규격과수많은통신기기의홍수속에서기존의시스템이접하지못한수준의외부간섭 (Interference) 신호및블로커 (Blocker) 신호에노출되어있다. 소비자의높아진데이터수요및요구량에맞추어데이터의송수신율역시증가함이바람직할것이며무선통신을위해전력소모의최소화는당연히만족해야할조건이다. 무선송수신기 (wireless transceiver) 의성능은수신감도 (sensitivity) 와비선형특성 ( 예 : IIP3, P1dB, spectrum mask), 혹은이둘의특성을아우르는동적범위 (DR: Dynamic Range) 로서가늠할수있으며전통적으로수신감도성능이좀더 critical한것으로이해가되어왔다. 하지만최근의초연결사회및사물인터넷환경에서비선형특성이전체시스템의성능에좌우되는비율이상대적으로커지고있는것이현실이다. 따라서수 GHz 혹은수십 GHz에이르는광대역에서의고속의스펙트럼분석및감지 (sensing) 기술은그중요성과역할이현대사회에서매우커지고있다. 이러한기술은인지무선 [1] (Cognitive Radio) 로대변되는기술에쓰일경우광대역스펙트럼분석을바탕으로최적의무선통신스펙트럼을찾아내고이결과를바탕으로송수신효율및성능을최적화함을가능하게한다. 그뿐만아니라전자전 (Electronic Warfare) 을위한송수신시스템에서고속의스펙트럼감지및분석기술은레이더경보수신기 (RWR: Radar Warning Receiver), 레이더자동방향탐지기 (ADF: Automatic Direction Finder), 조기경보 61 전자공학회지 _ 555

66 김주성 수신기등에서매우유용하게쓰일수있다. 전자전에서사용되고있는 RF 입력주파수대역은최근 DC-40GHz 로확대되어가는추세이므로위협신호에대한탐색속도를획기적으로개선되는스펙트럼감지기술의요구는커지고있다. 다양한무선통신규격의도입과더불어최근의무선송수신개발트렌드는기존의협대역 (narrowband) 구조에서벗어나다양한용도 / 규격에좌우되지않는광대역 (broadband) 의송수신시스템을구현하는방향으로가고있다. 이러한광대역송수신시스템은수정가능하며, 프로그래밍화가가능하여야하며이러한시스템을소프트웨어-정의형송수신기 [2] (SDR: Software Defined Radio) 라한다. SDR은시스템의가격경쟁력, 반도체의면적을획기적으로줄일수있는장점이있다. 하지만현대의 SDR은기존의협대역송수신시스템과비교하면그성능수준이상당히떨어지고있는것이현실이다. 채널화한수신기기술은광대역의신호수집을쉽게할뿐만아니라그수집속도에서도획기적인성능향상을가져다줄수있다. 광대역신호의처리를채널화하여별도로처리할수있는특성으로인해광대역시스템의구현에도큰장점이있다. 본기고문에서는이러한채널화한수신기기술의원리및최근기술동향을살펴보려한다. 본기고문의구성은다음과같다. 2장에서는기존의수신기시스템을소개하고스펙트럼분석측면에서속도를비롯한성능의한계원인을소개한다. 3장에서는최근다양한연구가수행되고있는채널화한수신기기술을소개하며인지무선및전자전장비에의적용가능성및 SDR 시스템의적용가능성을검토한다. 마지막으로채널화한수신기기술의한계및전망을소개함으로결론을맺는다. Ⅱ. 광대역스펙트럼분석기 < 그림 2> 는주파수합성기 (Frequency Synthesizer) 의순시를통한단일채널광대역스펙트럼분석기를나타낸다. 다른스펙트럼의분석이필요할경우주파수합성기의출력주파수를변화시킴으로써분석이필요한주파수를변경할수있게한다. 이러한구조의광대역스펙트럼분석기는많은기술적장벽을가지고있다. 일차적으로주파수합성기의대역폭은광대역스펙트럼수신기가요구로하는분석대역폭지원이쉽지않다. 그뿐만아니라요구되는대역폭지원이가능하다고하더라도주파수순시를위한주파수합성기의출력주파수변경은그속도에있어서한계가있다. 이는소위가드너의안정도한계 [3] (Gardner s stability limit) 에의한것으로써, 주파수합성기의루프 BW(bandwidth) 는일반적으로외부의기준주파수 (reference frequency) 의 1/10 이내로설계가이루어져야하기때문이다. < 그림 2> 주파수합성기기반의단일채널광대역스펙트럼분석기 < 그림 1> 스펙트럼분석및감지기술을통한인지무선송수신기개념도 < 그림 3> 주파수합성기기반의복수채널광대역스펙트럼분석기 556 _ The Magazine of the IEIE 62

67 인지무선및고속의신호정보수집을위한채널화한수신기기술 < 그림 3> 은단일채널의스펙트럼분석기의한계를극복하기위해다수의복수채널스펙트럼분석기를병렬로배치함으로써광대역지원을가능하게하는구조이다. 병렬구조를채택함으로써주파수순시시간 (scan time) 은 < 그림 2> 의구조에비교하여병렬신호부의개수 (N) 만큼빠르게구현할수있다. 하지만다수의주파수하향변환기 (down conversion mixer) 로인하여광대역저잡음증폭기 (LNA: Low Noise Amplifier) 의성능열화가발생하며원하는대역폭의실현이쉽지않을수있다. 그뿐만아니라다수의병렬신호부로인하여전체시스템의사이즈가커질수밖에없으며다수의주파수합성기가단일칩에존재함으로인하여서로간의커플링및스퓨리어스 (spurious) 에의한성능열화역시피할수가없다. < 그림 2>, < 그림 3> 의주파수합성기기반의스펙트럼분석기에서공통으로수신감도를결정하는주요기능블록은광대역저잡음증폭기이다. Friis Equation에서알수있듯이저잡음증폭기는수신기의전체시스템잡음지수를결정하며증폭기이후의주파수하향변환기및베이스밴드필터의잡음지수는저잡음증폭기의증폭이득에따라상당수준감쇄가일어난다 [4]. 수십 GHz의주파수지원이가능하며잡음지수및선형성등의규격을만족하는저잡음증폭기는현재의기술로는분산증폭기 (Distributed Amplifier) 가유일하다 [5]. 화합물반도체기반의분산증폭기는우수한수동소자및반도체의높은주파수응답특성을바탕으로성능면에서 CMOS 기반의분산증폭기보다월등히우수하다. 최근 CMOS 기반의많은연구들 [6-8] 이수행이되고있으나인지무선및전자전스펙트럼감지에서요구하는수준의성능을확보하기는쉽지않은것으로보인다. Ⅲ. 채널화한수신기구조 < 그림 4> 채널화한수신기구조의광대역스펙트럼분석기방향성 (Up/Down) 을결정한다. 주파수합성기를통해나오는기준주파수는단일주파수이며분주기 (Frequency Divider) 를통한주파수들이각각의주파수변환기의기준주파수 ( 혹은 LO) 로동작한다. 광대역저잡음증폭기의다음단에존재하는제1 주파수혼합기는주파수의하향변환을수행하는 Single Quadrature Mixer이다. 단일위상의 RF 신호와더불어 I/Q 위상의 LO 신호를통해주파수하향변환 ( ) 을수행한다. 제2 주파수혼합기및그이후의혼합기는 Double Quadrature Mixer 라지칭되며이는 RF 신호및 LO 신호모두다 I/Q 위상을가지는입력을가진것으로이해할수있다. 이경우 I/Q 위상의 RF 및 LO 신호의조합을통해상향및하향변환모두를수행할수있게된다. 상향및하향모드의변환은주파수변환기사이에존재하는스위치매트릭스 (Switch Matrix) 를통해 RF 신호의위상을변경함으로써가능하다. 하향모드의선택을위해스위치매트릭스는직접 (direct) 연결되며이경우주파수변환기의출력은다음과같은수식으로나타내어진다. < 그림 4> 는채널화한수신기구조를채택한광대역스펙트럼분석기를나타낸다. 기존의주파수합성기기반의스펙트럼분석기에서는주파수의순시를위해주파수합성기의기준주파수변경이필요하지만 < 그림 4> 의구조에서는주파수의순시를위해주파수변환기 (Mixer) 의 63 전자공학회지 _ 557

68 김주성 반면스위치매트릭스의상호교차 (cross coupled) 연결에의한출력식은다음과같다. 위의식에서알수있듯이스위치매트릭스의구성을통해, cascaded 된주파수변환기의출력은의유효 LO 주파수를생성한다. N 단계의하향변환인경우유효 LO는다음의식과같다. 반복적인하향변환을통하여입력스펙트럼은각스테이지에서두갈래로나뉘게된다. 각 LO 주파수에비추어볼때상위 (upper) 혹은하위 (lower) 사이드밴드 (side band) 의선택은스위치매트릭스의구성으로행해진다. 반복적인하향변환기의개수로채널수와채널의간격이결정된다. 입력스펙트럼은채널화되고, 전체스펙트럼은각하향변환스테이지의적당한선택과함께순차적으로순시가이루어진다. University of Texas, Austin과 Columbia University 의공동연구진은채널화한수신기를활용한논문 [9],[10] 을발표하였으며 UWB(Ultra Wideband) 의스펙트럼감지수신용으로활용하였다. UWB는광대역의주파수대역을사용하며높은데이터전송률을보인다. 하지만기타여러이동통신스펙트럼과의공유가필수적이며 UWB의송신출력역시 41.25dBm/MHz로한정되어있다 [11]. UWB의수신기에서는다른이동통신의협대역간섭신호의영향이매우크므로 UWB의데이터전송률을비롯한성능이간섭신호의크기및주파수에큰영향을받게된다. 채널화한수신기는 UWB 수신기와함께사용되어적절한수신스펙트럼을찾는데활용되었으며이는인지무선에서의간섭회피기술로사용된것으로볼수있다. H. Krishnaswamy 연구진은기존의채널화한수신기구조를개선하여 3-way IDC(Iterative Down Conversion) 구조를발표하였다 [12]. 기존의채널화한수신기구조는한정된이미지제거 (Image Rejection) 성능및하모닉제거 (Harmonic Rejection) 성능으로인하여채널간신호의 leakage가큰단점으로작용한다. 3-way IDC 구조에서는주파수변환기의개수를최소화하며하향변환만으로채널화가가능하다. 이에따라서신호의 leakage를줄일수있고전체시스템의전력도감소시킬수있는장점이있다. Ⅳ. 전망과결론 현재의주파수운용을살펴보면많은수의주파수대역에서운용이될뿐만아니라운용기기의개수역시기하급수적으로늘어나고있다. 수백 khz 대역의해상, 항공무선통신, 수십 MHz 대역의라디오방송과아마추어무선통신, 수백 MHz 대역의 TV 방송과다양한항공, 우주, 기상통신, 1-2GHz 전후의이동통신, 2.4GHz와 5GHz 대역의 WiFi, 수 GHz 대역의위성통신, 무선탐지, 수십 GHz 대역의위성통신, 레이더등의다양한목적으로모든대역의주파수가쓰이고있다. 이런다양한주파수를하나의수신기로신호를받고수신할수있다면, 군에서는레이더대응, 전자전대응에쓰일수있고, 민간에서는 UWB를포함한다중밴드, 다중모드혼성시스템을구축할수있게된다. 채널화한수신기구조는순시시간의급격한감소를가져다줄수있으며무리한광대역동작으로잡음지수와선형성이나빠지는것을막을수있다는장점이있다. 인지무선송수신시스템에쓰일경우간섭신호의존재여부및그크기의감지를통해시스템이간섭신호가존재하지않는주파수영역에서의동작을가능하게한다. 기존의무선송수신시스템에서는간섭신호에대한강성을높이기위해수신부의선형성특성을매우좋게가져가야했으며이에따라상시운용되는수신부의전력소모는매우클수밖에없다. 인지무선을채용함으로인해서간섭신호의빠른감지를스펙트럼감지를통해수행하고 558 _ The Magazine of the IEIE 64

69 인지무선및고속의신호정보수집을위한채널화한수신기기술 신호수신부의선형성요구는낮아질수있고이에따라전력소모량도감소한다. 군에서의레이더대응을비롯한전자전운용에서는주파수순시시간및감도가매우중요하다. 기존의전자전장비들은모듈기반으로써다수의 off-chip 소자로인해가격및시스템의면적이클수밖에없으며다수의주파수합성기및 LO로인해커플링, 스퓨리어스성능이나쁘다. 그뿐만아니라주파수순시시간역시주파수합성기의 settling time에의해빠르게가져가기쉽지않다. 채널화한수신기는주파수합성기의주파수순시가아닌수신부의 up/down 스위칭동작으로주파수순시를하게되며이에따라순시시간의감소및전자전장비의빠른대응속도를이룩할수있게된다. 참고문헌 [1] J. Mitola and G. Q. Maguire Jr., Cognitive Radio: Making Software Radios More Personal, IEEE Personal Communications, vol. 6, no. 4, Aug. 1999, pp [2] J. Mitola, The Software Radio Architecture, IEEE Communication Magazines, vol. 33, no. 5, May 1995, pp [3] F. M. Gardner, Charge-Pump Phase-Locked Loop, IEEE Transactions on Communications, vol. COM-28, no. 11, Nov. 1980, pp [4] H. T. Friis, Noise Figure of Radio Receivers, Proc. IRE, Jul. 1944, pp [5] G. Nikandish, et al., Unilateralization of MMIC Distributed Amplifiers, IEEE Transactions on Microwave Theory and Techniques, vol. 62, no. 12, Dec. 2014, pp [6] A. Asafarian, et al., A Distributed RF Front-End for UWB Receivers, CICC, 2006 [7] A. Arbabian and A. M. Niknejad, Design of a CMOS Tapered Cascaded Multistage Distributed Amplifier, IEEE Transactions on Microwave Theory and Techniques, vol. 57, no. 4, Apr. 2009, pp [8] A. Jahanian and P. Heydari, A CMOS Distributed Amplifier with Distributed Active Input Balun using GBW and Linearity Enhancing Techniques, IEEE Transactions on Microwave Theory and Techniques, vol. 60, no. 5, May 2012, pp [9] R. Gharpurey and P. Kinget, Channelized Front Ends for Broadband Analog and RF Signal Processing with Merged LO Synthesis, IEEE Dallas Circuits and Systems Workshop(DCAS), Dallas, TX, USA, Oct. 2009, pp. 1-4 [10] T.-L. Hsieh, P. Kinget, and R. Gharpurey, A Rapid Interference Detector for Ultra Wideband Radio Systems in 0.13um CMOS, IEEE Radio Frequency Integrated Circuits Symposium(RFIC), Atlanta, GA, USA, June 2008, pp [11] Multiband OFDM physical layer specification Wimedia Alliance specification document: h 쎄 :// [12] H.Krishnaswamy et al., RF Channelizer Architectures Using Iterative Downconversion for Concurrent or Fast-Switching Spectrum Analysis, IEEE International Midwest Symposium on Circuits and Systems(MWSCAS), Cancun, Mexico, Aug. 2009, pp 김주성 2006 년 8 월연세대학교공과대학전자공학과학사 2011 년 12 월 Texas A&M Univ. 전자공학과박사 2012 년 1 월 ~2015 년 8 월미국 Qualcomm 연구원 2015 년 9 월 ~ 현재한밭대학교전자 제어공학과조교수 < 관심분야 > RF IC, Broadband Transceiver, Biomedical Circuits, Software Defined Radio 65 전자공학회지 _ 559

70 The Institute of Electronics and Information Engineers 논문지논문목차 전자공학회논문지제 54 권 7 호발행 통신분야 [ 통신 ] 네트워크환경및데이터요구사항에따른무인기네트워크자원할당알고리즘 천혜림, 황찬호, 이우신, 유인덕, 김재현 제한된연산량을갖는 Dijkstra 탐색기반의스피어디코딩 윤혜연, 김태환 [SoC 설계 ] 타이밍구동 FPGA 분석적배치 김교선 반도체분야 저면적 Mixed-radix MDC FFT 프로세서를위한효율적인스케줄링기법 장정근, 선우명훈 짝 홀교차사상을이용한 Double Flow 기법기반병렬터보복호기설계 좌유철, 임종석 [ 유비쿼터스시스템 ] 페라이트를이용한자기장무선전력전송안테나 고낙영, 이본영, 송성규, 박우진, 서석태, 변영재 컴퓨터분야 컴퓨터의보안향상을위한상호정전용량터치스크린패널의차동펄스를이용한지문인식을위한송신법 김성문, 최은호, 고낙영, 변영재 차량내신뢰성있는센서 (Sensor) 통신을위한온도보상기반이더넷이퀄라이저 (Ethernet equalizer) 설계 서석태, 변영재 560 _ The Magazine of the IEIE 66

71 논문지논문목차 [ 융합컴퓨팅 ] EEG 신호기반경사도방법을통한감정인식에대한연구 한의환, 차형태 신호처리분야 [ 음향및신호처리 ] 스퀸트모드 SAR 영상형성을위한역투영알고리즘에서의자동초점기법적용연구 황정훈, 김환우 시스템및제어분야 [ 회로및시스템 ] 자동문의고장원인을모니터링하기위한 BLE 기반의시스템개선연구 김기두, 원서연, 김희식 [ 의용전자및생체공학 ] 다중생체신호측정웨어러블디바이스기반환자모니터링시스템설계 이민혜, 정기수, 정동명 팔꿈치관절 CT 검사에서환자자세및호흡에따른화질평가 임종천, 박상현, 이인재 9.4T MRI FLASH Sequence 에서마우스의뇌조영증강검사를위한적정 Echo phase 정현근, 김민기, 남기창, 정현도, 안치권, 김호철 [ 컴퓨터응용 ] NXT Mindstorm 을이용한교육용이륜도립진자로봇제작 정보환 산업전자분야 67 전자공학회지 _ 561

72 The Magazine of the IEIE 국 내외에서개최되는각종학술대회 / 전시회를소개합니다. 게재를희망하시는분은간략한학술대회정보를이메일로보내주시면게재하겠습니다. 연락처 : edit@theieie.org 2017 년 8 월 일자학술대회명개최장소홈페이지 / 연락처 IEEE Trustcom/BigDataSE/ICESS University of Technology Sydney Australia stprp-activity.com/trustcom International Applied Computational Electromagnetics Society Symposium - China (ACES) National University of Singapore Suzhou Research Institute, China China_2017/ IEEE International Conference on Smart Technologies and Management for Computing, Communication, Controls, Energy and Materials (ICSTM) Vel Tech Rangarajan Dr. Sagunthala R & D Institute of Science and Technology, Chennai, India IEEE 3rd International Conference on Engineering Technologies and Social Sciences (ICETSS) AIT Conference Centre (AIT CC) Bangkok, Thailand icetss.etssm.org IEEE Transportation Electrification Conference and Expo, Asia-Pacific (ITEC Asia-Pacific) Hotel Victories, Harbin, China itec-ap2017.com IEEE Magnetic Recording Conference (TMRC) Tsukuba International Congress Center, Tsukuba, Japan IEEE International Symposium on Electromagnetic Compatibility & Signal/Power Integrity (EMCSI) Gaylord National Harbor, MD, USA IEEE SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI Jerry (zeyu) Gao, San Jose, CA, USA ieee-smartworld.org/2017/smartworld/ IEEE International Conference on Mechatronics and Automation (ICMA) Sunport Takamatsu, Japan 2017.ieee-icma.org/ IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS) Tufts University Conference Center, USA th International Conference on Agro-Geoinformatics George Mason University, USA IEEE International Conference on Signal Processing, Informatics, Communication and Energy Systems (SPICES) TKM College of Engineering Kollam, India IEEE International Symposium on Technology and Society (ISTAS) TBD, Sydney, Australia ieeessit.org/files/2017/02/istas2017.pdf nd International Conference on Telecommunication and Networks (TEL-NET) Amity University Uttar Pradersh, India International conference on Microelectronic Devices, Circuits and Systems (ICMDCS) VIT University, India IEEE Electric Ship Technologies Symposium (ESTS) TBD, USA ests17.mit.edu/ IEEE XXIV International Conference on Electronics, Electrical Engineering and Computing (INTERCON) Universidad Nacional de San Antonio Abad del Cusco, Peru th International Conference on Electronic Packaging Technology (ICEPT) TBD, Harbin, China International Conference on Computing, Communication, Control and Automation (ICCUBEA) PIMPRI CHINCHWAD COLLEGE OF ENGINEERING, PUNE, India International conference on Smart grids, Power and Advanced Control Engineering ( ICSPACE) Global Academy of Technology, India _ The Magazine of the IEIE 68

73 일자학술대회명개최장소홈페이지 / 연락처 XXXIInd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS) Palais de Congres, QC, Canada th IEEE Conference on Automation Science and Engineering (CASE 2017) Wyndham Grand Xi'an South, China IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM) University of Victoria, Canada kinli@uvic.ca th Congress of the International Commission for Optics (ICO) Keio Plaza Hotel, Tokyo, Japan ico24.org th International Conference On Advances In Computing & Communications (ICACC) Rajagiri School of Engineering & Technology, India th International Conference on Computer Science and Education (ICCSE) University of Houston Hilton Hotel, USA International Conference on Electrical Engineering and Computer Science (ICECOS) Hotel Aryaduta, Palembang, Indonesia icecos.unsri.ac.id/ IEEE 14th International Conference on Group IV Photonics (GFP) Grand Hyatt Berlin, Germany nd International Conference on Inventive Computation Technologies (ICICT) RVS Technical Campus, Coimbatore, India icoict.com/index.html SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC) Majestic Hotel, Brazil nd International Conference on Advanced Robotics and Mechatronics (ICARM) TBA, Heifei, China th International Congress on Engineered Materials Platforms for Novel Wave Phenomena (Metamaterials) Campus Saint-Charles, Aix-marseille université, France congress2017.metamorphose-vi.org/ IEEE Conference on Control Technology and Applications (CCTA) Mauna Lani Bay Hotel and Bungalows, USA ccta2017.ieeecss.org/ nd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz) Cancun International Convention Center, Mexico th European Signal Processing Conference (EUSIPCO) Kos International Convention Centre (KICC), Kos, Greece th Symposium on Integrated Circuits and Systems Design (SBCCI) Gran Marquise Hotel, Fortaleza, Brazil chiponthesands.lesc.ufc.br/sbcci-2017/ home nd International Conference on Methods and Models in Automation and Robotics (MMAR) Amber Baltic Hotel, Poland th IEEE International Symposium on Robot and Human Interactive Communication (RO-MAN) Pestana Palace Hotel, Portugal 년 9 월 IEEE 7th International Conference on Consumer Electronics - Berlin (ICCE-Berlin) Berlin Messe, Germany Federated Conference on Computer Science and Information Systems (FedCSIS) Czech Technical University in Prague, Czech Republic IEEE 16th International Symposium On Electrets (ISE) KU Leuven, Physics department, Belgium iks32.fys.kuleuven.be/indico/event/ International Symposium on Electromagnetic Compatibility - EMC EUROPE Congress Center, Angers, France th International Conference on Field Programmable Logic and Applications (FPL) Culture and Convention Center Het Pand, Belgium IEEE 25th International Requirements Engineering Conference (RE) Universidade Nova de Lisboa, Portugal re2017.org IEEE International Conference on Cluster Computing (CLUSTER) Sheraton Waikiki, HI, USA cluster17.github.io IEEE International Ultrasonics Symposium (IUS) Omni Shoreham Hotel, Washington, DC, USA th IEEE International System-on-Chip Conference (SOCC) Novotel München City, Munich, Germany ieee-socc.org 69 전자공학회지 _ 563

74 일자학술대회명개최장소홈페이지 / 연락처 International conference on Advanced Robotics and Intelligent Systems (ARIS) Taipei Nangang Exhibition Center, Taipei, Taiwan aris2017.nchu.edu.tw th International Symposium on Linear Drives for Industry Applications (LDIA) Osaka Institute of Technology Umeda Campus, Japan Intelligent Systems Conference (IntelliSys) America Square Conference Centre, London, United Kingdom IEEE AUTOTESTCON Renaissance Hotel, IL, USA th Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD) Westin La Paloma, AZ, USA th European Conference on Power Electronics and Applications (EPE'17 ECCE Europe) The Gromada Hotel, Warsaw, Poland International Conference on Electromagnetics in Advanced Applications (ICEAA) Hotel Leon D'Oro, Verona, Italy ESSCIRC rd IEEE European Solid State Circuits Conference (ESSCIRC) KU Leuven Campus Social Science, Belgium nd IEEE International Conference on Emerging Technologies and Factory Automation Grand Resort, Limassol, Cyprus etfa2017.org IEEE 17th International Conference on Ubiquitous Wireless Broadband (ICUWB) Hospedería Fonseca, Salamanca, Spain IEEE High Performance Extreme Computing Conference (HPCE) Westin Hotel, MA, USA International Test Conference in Asia (ITC-Asia) Nangang Exhibition Hall, Taipei, Taiwan windy.ee.nthu.edu.tw/itc-asia International Conference on Advances in Computing, Communications and Informatics (ICACCI) Manipal Institute of Technology (MIT), India icacci-conference.org/2017/ th FITCE Congress AEIT, MADRID, Spain fitce.org/ IEEE/AIAA 36th Digital Avionics Systems Conference (DASC) Hilton St. Petersburg Bayfront, FL, USA International Artificial Intelligence and Data Processing Symposium (IDAP) IDAP'17 Location, Malatya, Turkey idap.inonu.edu.tr/ th International Conference on Computational Intelligence and Communication Networks (CICN) Cyprus, GIRNE, Cyprus and IEEE Petroleum and Chemical Industry Technical Conference (PCIC 2017) Telus Convention Centre/Hyatt Regency Hotel, Canada ewh.ieee.org/soc/ias/pcic/ OCEANS 2017 Dena ina Convention Center, AK, USA ACM/IEEE 20th International Conference on Model Driven Engineering Languages and Systems (MODELS) Sheraton Austin Hotel at the Capitol, Austin, TX, USA IEEE International Conference on Software Maintenance and Evolution (ICSME) Crowne Plaza Shanghai Fudan, Shanghai, China conferences.computer.org/icsm/ North American Power Symposium (NAPS) IEEE International Conference on Image Processing (ICIP) Morgantown Marriott at Waterfront Place, WV, USA China National Convention Center (CNCC), Beijing, China ieeeicip.org th International Symposium on Future Active Safety Technology towards Zero-Traffic-Accidents (FAST-zero) Nara Kasugano International Forum, Nara, Japan Resilience Week (RWS) IEEE AFRICON Chase Center on the Riverfront, Wilmington, DE, USA Avenue Dock Road V&A Waterfront, South Africa africon2017.org International Multi-Conference on Engineering, Computer and Information Sciences (SIBIRCON) Technopark of Novosibirsk Akademgorodok, Novosibirsk, Russia sibircon.ieeesiberia.org International Conference on Indoor Positioning and Indoor Navigation (IPIN) Conference Hall/ Hokkaido University, Sapporo, Japan _ The Magazine of the IEIE 70

75 일자학술대회명개최장소홈페이지 / 연락처 International Conference on Engineering Technology and Technopreneurship (ICE2T) Premiera Hotel Kuala Lumpur, Malaysia ice2t.bmi.unikl.edu.my IEEE Conference on Standards for Communications and Networking (CSCN) Radisson Blu Hotels & Resorts, Helsinki, Finland sites.ieee.org/cscn th International Conference on Scintillating Materials and their Applications (SCINT) Le Majestic congress center, Chamonix, France scint2017.web.cern.ch DGON Inertial Sensors and Systems (ISS) Karlsruhe Institute of Technology, Germany iss.ite.kit.edu IEEE PES Innovative Smart Grid Technologies Conference - Latin America (ISGT Latin America) JW Marriott Hotel Quito, Ecuador ieee-isgt-latam.org XVII Workshop on Information Processing and Control (RPIC) Edificio Anexo de la Facultad de Ingeniería de la Universidad Nacional de Mar del Plata, Argentina th International Conference on Reliability, Infocom Technologies and Optimization (Trends and Future Directions) (ICRITO) Amity University Uttar Pradesh, Noida, India amity.edu/aiit/icrito IEEE 11th International Conference on Application of Information and Communication Technologies (AICT) V.A. Trapeznikov Institute of Control Sciences of Russian Academy of Sciences, Moscow, Russia th International Conference on Signal Processing, Computing and Control (ISPCC) JAypee University of Information Technology, Solan, India th International Conference on Software, Telecommunications and Computer Networks (SoftCOM) Radisson Blu Resort, Split, Croatia marjan.fesb.hr/softcom/ IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS) Vancouver Convention Centre, BC, Canada IV International Electromagnetic Compatibility Conference (EMC Turkiye) METU CCM, Ankara, Turkey IEEE 86th Vehicular Technology Conference (VTC-Fall) Hilton Toronto, ON, Canada IEEE Cybersecurity Development (SecDev) Hyatt Regency Cambridge, MA, USA secdev.ieee.org th International Symposium on Communications and Information Technologies (ISCIT) Pullman Cairns International, Cairns, Australia Computer Science and Information Technologies (CSIT) National Academy of Sciences of the Republic of Armenia, Yerevan, Armenia Second Russia and Pacific Conference on Computer Technology and Applications (RPC) Russian Academy of Sciences, Far Eastern Branch, Vladivostok, Russia rpc2017.dvo.ru IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe) Politecnico di Torino, Italy sites.ieee.org/isgt-europe IEEE Visualization Conference (VIS) AZ, USA ieeevis.org 2017 년 10 월 IEEE Industry Applications Society Annual Meeting Hyatt Regency Cincinnati, OH, USA ias.ieee.org/2017annualmeeting.html IEEE International Joint Conference on Biometrics (IJCB) IEEE Energy Conversion Congress and Exposition (ECCE) Denver Marriott Tech Center, CO, USA Duke Energy Convention Center, Cincinnatti, OH, USA IEEE International Conference on Systems, Man and Cybernetics (SMC) Fairmont BANFF SPRINGS, Banff, AB, Canada IEEE VIS Arts Program (VISAP) Phoenix Convention Center, AZ, USA visap.uic.edu IEEE Photonics Conference (IPC) Buena Vista Palace Hotel & Spa, Orlando, FL, USA 71 전자공학회지 _ 565

76 일자학술대회명개최장소홈페이지 / 연락처 Tenth International Conference "Management of Large- Scale System Development" (MLSD) V.A. Trapeznikov Institute of Control Sciences of Russian Academy of Sciences, Moscow, Russia mlsd2017.ipu.ru IEEE 12th Nanotechnology Materials and Devices Conference (NMDC) Holiday Inn Atrium, Singapore ieeenmdc.org/nmdc-2017/ IEEE Conference on Visual Analytics Science and Technology (VAST) Phoenix Convention Center, AZ, USA ieeevis.org/ th IEEE Annual Information Technology, Electronics and Mobile Communication Conference (IEMCON) University of British Columbia, Vancouver, BC, Canada Fourth International Conference on Computer Technology in Russia and in the Former Soviet Union (SORUCOM) Prefecture of Zelenograd Administrative District, Moscow, Russia IEEE 3rd Information Technology and Mechatronics Engineering Conference (ITOEC) Chongqing River Romance Hotelhuanggang Road, Chongqing, China IEEE International Workshop on Signal Processing Systems (SiPS) Universite de Bretagne Sud Rue le Coat Saint Haouen Lorient, France Congreso Internacional de Innovación y Tendencias en Ingeniería (CONIITI) Universidad Catolica de Colombia, Bogota D.C., Colombia coniiti.com/ IEEE International Conference on Consumer Electronics- Asia (ICCE-Asia) Bengaluru, India st International Conference on Intelligent Systems and Information Management (ICISIM) MGMs Jawaharlal Nehru Engineering College, Aurangabad, Maharashtra, India icisim.jnec.org International Conference on Computer Science and Engineering (UBMK) ANTALYA AKDENIZ UNIVERSITY CONFERENCE CENTERS, ANKARA, Turkey th International Conference On COMPUTER APPLICATIONS IN ELECTRICAL ENGINEERING-RECENT ADVANCES (CERA) DEPARTMENT OF ELECTRICAL ENGINEERING INDIAN INSTITUTE OF TECHNOLOGY, ROORKEE UTTRAKHAND ROORKEE, India cera17.iitr.ac.in International Seminar on Application for Technology of Information and Communication (isemantic) E building, Universitas Dian Nuswantoro E Building, Indonesia isemantic.dinus.ac.id/ th International Conference on Accelerator and Large Experimental Physics Control Systems (ICALEPCS) Palau de Congressos de Catalunya Avinguda Diagonal, Spain icalepcs2017.org/ th European Microwave Conference (EuMC) Nuremberg Convention Center (NCC), Germany html IEEE 28th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC) Hôtel Bonaventure Montréal, QC, Canada IEEE 42nd Conference on Local Computer Networks Workshops (LCN Workshops) Pac Pacific Singapore Hotel, Singapore IEEE Conference on Communications and Network Security (CNS) Linq Hotel and Casino, Las Vegas Nevada. Las Vegas, NV, USA IEEE International Symposium on Mixed and Augmented Reality (ISMAR) La Cite, Nantes Events Center, Nantes, France ismar2017.sciencesconf.org/ IEEE 25th International Conference on Network Protocols (ICNP) Chestnut Conference Centre, ON, Canada IEEE Jordan Conference on Applied Electrical Engineering and Computing Technologies (AEECT) Aqaba, Jordan European Radar Conference (EURAD) Nürnberg Convention Center, Nuremberg, Germany th International Conference on Wireless Communications and Signal Processing (WCSP) TBD, Nanjing, China IEEE 19th International Conference on e-health Networking, Applications and Services (Healthcom) Inn Fine Hotel Dalian, China healthcom2017.ieee-healthcom.org/ International Conference on Computing and Communication Technologies for Smart Nation (IC3TSN) Department of Computer Science and Engineering, School of Engineering, Gurgaon, India _ The Magazine of the IEIE 72

77 일자학술대회명개최장소홈페이지 / 연락처 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery (CyberC) Sofitel Galaxy Nanjing, Nanjing, China Cyberc.org Medical Technologies National Congress (TIPTEKNO) Karadeniz Teknik Üniversitesi, Trabzon, Turkey th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) TBD, MA, USA hhunterjaeger@hotmail.com IEEE 58th Annual Symposium on Foundations of Computer Science (FOCS) CA, USA luca@berkeley.edu Embedded Systems Week (ESWeek) Lotte Hotel Seoul, South Korea IEEE 20th International Conference on Intelligent Transportation Systems (ITSC) Mielparque Yokohama, Japan Sixth Asia-Pacific Conference on Antennas and Propagation (APCAP) Shaanxi Guesthouse, Xi an, China IEEE International Young Scientists Forum on Applied Physics and Engineering (YSF) TBD, Lviv, Ukraine ysc.org.ua/2017/ IEEE Frontiers in Education Conference (FIE) Indianapolis Marriott Downtown, IN, USA fie-conference.org/ International Conference on Electron Devices and Solid- State Circuits (EDSSC) National Tsing Hua University, Hsinchu, Taiwan www2.ess.nthu.edu.tw/edssc2017/ International Conference on Information and Communication Technology Convergence (ICTC) Lotte City Hotel Jeju, Korea (South) ictc2017.org/ International Conference on Advanced Technologies for Communications (ATC) TBD, Quy Nhon, Vietnam atc-conf.org CHILEAN Conference on Electrical, Electronics Engineering, Information and Communication Technologies (CHILECON) Enjoy Pucon Hotel, Chile chilecon2017.macrofacultad.cl th International Conference on Advanced Technologies, Systems and Services in Telecommunications (TELSIKS) University of Nis, Faculty of Electronic Engineering, Nis, Serbia IEEE 5th International Symposium on Electromagnetic Compatibility (EMC-Beijing) V-Continent Beijing Parkview Wuzhou Hotel, Beijing, China nd International Conference on Communication and Electronics Systems (ICCES) PPG Institute of Technology, Coimbatore, India icoces.com/index.php IEEE International Conference on Data Science and Advanced Analytics (DSAA) Shinagawa Prince Hotel, Tokyo, Japan International Energy and Sustainability Conference (IESC) Marjaneh Issapour, NY, USA IEEE 8th Annual Ubiquitous Computing, Electronics and Mobile Communication Conference (UEMCON) Columbia University, NY, USA China International Electrical and Energy Conference (CIEEC) Beijing International Conference Center, Beijing, China IEEE Global Humanitarian Technology Conference (GHTC) DoubleTree San Jose Airport, CA, USA ieeeghtc.org Fourth International Conference on Advances in Biomedical Engineering (ICABME) Lebanese University, Faculty of engineering- EDST, Beirut, Lebanon IEEE Biomedical Circuits and Systems Conference (BioCAS) Politecnico di Torino, Italy biocas2017.org/ th IEEE International Conference on Electronic Measurement & Instruments (ICEMI) Yangzhou Convention Center, Yangzhou, China IEEE Nuclear Science Symposium and Medical Imaging Conference (NSS/MIC) Hyatt Regency Atlanta, GA, USA IEEE International Conference on Computer Vision (ICCV) Venice, Italy marcello.pelillo@gmail.com IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS) Miami Marriott Biscayne Bay, FL, USA IEEE Conference on Electrical Insulation and Dielectric Phenomenon (CEIDP) Hilton Fort Worth, TX, USA ewh.ieee.org/soc/dei/ceidp/ 73 전자공학회지 _ 567

78 일자학술대회명개최장소홈페이지 / 연락처 IEEE International Telecommunications Energy Conference (INTELEC) Gold Coast Conference and Exhibition Centre, Australia IEEE Electrical Power and Energy Conference (EPEC) Delta Bessborough Hotel, SK, Canada epec2017.ieee.ca/ IEEE International Conference on Signal Processing, Communications and Computing (ICSPCC) City hotel Xiamen, Xiamen, China hcb@nwpu.edu.cn IEEE/CIC International Conference on Communications in China (ICCC) Qingdao, China sites.ieee.org/iccc th International Conference on Electric Power Equipment - Switching Technology (ICEPE-ST) TBD, Zhengzhou, China IEEE International Conference on Computer Vision Workshop (ICCVW) Venice Convention Center, Italy iccv2017.thecvf.com/ International Radar Conference (Radar) Belfast Waterfront Conference Centre, United Kingdom IEEE 28th International Symposium on Software Reliability Engineering (ISSRE) HOTEL MERCURE TOULOUSE CENTRE COMPANS, Toulouse, France issre.net/ Seventh International Conference on Affective Computing and Intelligent Interaction Workshops and Demos (ACIIW) Menger Hotel, TX, USA IEEE International Symposium on Software Reliability Engineering Workshops (ISSREW) HOTEL MERCURE TOULOUSE CENTRE COMPANS, Toulouse, France issre.net International Topical Meeting on Microwave Photonics (MWP) China National Convention Center, Beijing, China IEEE 6th Global Conference on Consumer Electronics (GCCE) Nagoya, Japan PRE_ ivory.pdf th International Conference in Software Engineering Research and Innovation (CONISOFT) Facultad de Matemáticas, Mérida, Mexico redmis2016.com.mx/conisoft2017/ IEEE II International Conference on Control in Technical Systems (CTS) St. Petersburg Electrotechnical University LETI, Saint Petersburg, Russia university/news/ii-international-conferenceon-control-in-technical-systems IEEE 12th International Conference on ASIC (ASICON) PULLMAN GUIYANG, Guiyang, China th IEEE Uttar Pradesh Section International conference on Electrical, Computer and Electronics (UPCON) GLA Univeristy, Mathura, India th International Conference on Computer and Knowledge Engineering (ICCKE) Ferdowsi University of Mashhad, Mashhad, Iran iccke.um.ac.ir Recent Developments in Control, Automation & Power Engineering (RDCAPE) Amity University Uttar Pradesh, NOIDA, India amity.edu/rdcape2017/ IEEE 17th International Conference on Communication Technology (ICCT) UESTC Academic Meeting Center, Chengdu, China International Conference on Recent Innovations in Signal processing and Embedded Systems (RISE) MANIT, Bhopal, India th IEEE International Conference on MOOCs, Innovation and Technology in Education (MITE) BMS College of Engineering, Bangalore, India International Conference on Advanced Computer Science and Information Systems (ICACSIS) Sanur Paradise Plaza Hotel, Denpasar Bali, Indonesia icacsis.cs.ui.ac.id st Asilomar Conference on Signals, Systems, and Computers Asilomar Conference Grounds, CA, USA th International Conference on Electrical Engineering - Boumerdes (ICEE-B) Institute of Electrical and Electronic Engineering (IGEE, ex.inelec), University of Boumerdes, Algeria icee2017.univ-boumerdes.dz/ IECON rd Annual Conference of the IEEE Industrial Electronics Society China National Convention Center, Beijing, China International Conference on Computing Networking and Informatics (ICCNI) Covenant University, Ota, Nigeria iccns.covenantuniversity.edu.ng/ IEEE SENSORS Scottish Exhibition and Conference Centre, United Kingdom cdyer@conferencecatalysts.com 568 _ The Magazine of the IEIE 74

79 일자학술대회명개최장소홈페이지 / 연락처 IEEE/ACS 14th International Conference on Computer Systems and Applications (AICCSA) Hammamet, Tunisia IEEE 5th Workshop on Wide Bandgap Power Devices and Applications (WiPDA) Hyatt Regency Tamaya Resort, NM, USA International Symposium on Antennas and Propagation (ISAP) The Phuket Graceland Resort & Spa, Phuket, Thailand IEEE-SA Ethernet & Automotive Technology Day (E&IP@ATD) TBD, CA, USA nd IEEE/ACM International Conference on Automated Software Engineering (ASE) Illini Union, IL, USA ase2017.org IEEE Fog World Congress (FWC) CA, USA tazhang2@cisco.com IEEE International Test Conference (ITC) 2017 년 11 월 Fort worth Convention Center, TX, USA IEEE International Conference on Cloud Computing in Emerging Markets (CCEM) Vivanta by Taj, Bangalore, India conferences.computer.org/ccem SC17: International Conference for High Performance Computing, Networking, Storage and Analysis CO, USA International Conference on Wireless Networks and Mobile Communications (WINCOM) ENSIAS, Mohammed V University of Rabat, Rabat, Morocco Conference on Information and Communication Technology (CICT) ABV Indian Institute of Information Technology and Management Gwalior, India cict2017.org Third International Conference on Research in Computational Intelligence and Communication Networks (ICRCICN) RCC INSTITUTE OF INFORMATION TECHNOLOGY, Kolkata, India IEEE MIT Undergraduate Research Technology Conference (URTC) Massachusetts Institute of Technology, Cambridge, MA, USA ieee.scripts.mit.edu/conference International Conference on Medical Imaging Physics and Engineering (ICMIPE) Yingjie Conference Center, Beijing, China gaoss@pku.edu. 추 IEEE 6th International Conference on Renewable Energy Research and Applications (ICRERA) Town and Country Resords and Covention Center, San Diego, CA, USA International SoC Design Conference (ISOCC) Grand Hilton Seoul, Seoul, Korea (South) TENCON IEEE Region 10 Conference TBD, Malaysia zuhaina@ieee.org IEEE Green Energy and Smart Systems Conference (IGESSC) Pyramid, CSULB, Long Beach, CA, USA sites.ieee.org/clas-sysc IEEE Healthcare Innovation Point-of-Care Technologies (HI-POCT) NAID Conference Center, Bethesda, MD, USA j.sandler@ieee.org nd Annual Conference on Magnetism and Magnetic Materials (MMM) David L. Lawrence Convention Center, Pittsburgh, PA, USA International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS) Xiamen International Conference Center Hotel, Xiamen, China ispacs2017.hqu.edu.cn/ IEEE 3rd International Conference on Electro-Technology for National Development (NIGERCON) Federal University of Technology, Owerri (FUTO), Owerri, Nigeria Pacific Neighborhood Consortium Annual Conference and Joint Meetings (PNC) National Cheng Kung University, Tainan, Taiwan IEEE International Autumn Meeting on Power, Electronics and Computing (ROPEC) Azul IXtapa Grand Hotel, Ixtapa, Mexico IEEE PES Asia-Pacific Power and Energy Engineering Conference (APPEEC) Le Meridien, Bangalore, India rd International Conference on VLSI Systems, Architecture, Technology and Applications (VLSI SATA) Amrita School of Engineering, Bangalore, India web-blr.amrita.edu/vlsi_sata/index.htm# 75 전자공학회지 _ 569

80 일자학술대회명개최장소홈페이지 / 연락처 IEEE International Conference on Rebooting Computing (ICRC) The Ritz-Carlton, Tyson's Corner, McLean, VA, USA icrc.ieee.org International Symposium on Computers in Education (SIIE) Lisbon School of Education ESELx, Lisboa, Portugal siie2017.pt/ th International Conference on Communication Systems and Network Technologies (CSNT) IE Nagpur, Nagpur, India IEEE/ACM International Conference on Computer-Aided Design (ICCAD) Irvine Marriott, CA, USA iccad.com/ IEEE Conference on Technologies for Sustainability (SusTech) Phoenix Airport Marriott Hotel, AZ, USA sites.ieee.org/sustech/ IEEE Asia Pacific Microwave Conference (APMC) New World Renaissance Hotel, Kuala Lumpur, Malaysia IEEE International Conference on Microwaves, Antennas, Communications and Electronic Systems (COMCAS) David Intercontinental Tel-Aviv Hotel, Tel-Aviv, Israel IEEE International Conference on Bioinformatics and Biomedicine (BIBM) Westin, MO, USA cci.drexel.edu/ieeebibm/bibm International Automatic Control Conference (CACS) th World Engineering Education Forum (WEEF) Howard Beach Resort Kenting, Pingtung, Taiwan Berjaya Times Square, Kuala Lumpur, Malaysia isdlab.ie.ntnu.edu.tw/cacs2017/ IEEE Global Conference on Signal and Information Processing (GlobalSIP) Hotel Bonaventure, Montreal, QC, Canada 2017.ieeeglobalsip.org/ Fifth International Conference on Aerospace Science & Engineering (ICASE) Institute of Space Technology, Islamabad, Pakistan Military Communications and Information Systems Conference (MilCIS) National Convention Centre, Canberra, Australia Dynamics of Systems, Mechanisms and Machines (Dynamics) Omsk State Technical University, Omsk, Russia conf.ict.nsc.ru/dynamics-2017/ru IEEE-RAS 17th International Conference on Humanoid Robotics (Humanoids) Birmingham Repertory Theatre, United Kingdom st International Computer Science and Engineering Conference (ICSEC) TBD, Bangkok, Thailand First International Conference on Latest trends in Electrical Engineering and Computing Technologies (INTELLECT) College Of Engineering (CoE), Karachi, Pakistan intellect.pafkiet.edu.pk IEEE 37th Central America and Panama Convention (CONCAPAN) Crowne Plaza Hotel and Convention Center, Managua, Nicaragua ieee.nicaragua@ieee.org International Conference on Electrical and Information Technologies (ICEIT) Rabat, Morocco nd International Conference on Green Computing and Internet of Things (ICGCIoT) Galgotias College of Engineering & Technology (GCET), India gciot-conference.org/2017/ rd International Conference on Condition Assessment Techniques in Electrical Systems (CATCON) Indian Institute of Technology Ropar, India International Conference on Information, Communication and Engineering (ICICE) TBD, Xiamen, Fujian, China 2017.icice.net/ IEEE International Conference on Data Mining (ICDM) TBD, LA, USA xwu@louisiana.edu International Symposium on Wireless Systems and Networks (ISWSN) The University of Lahore, Pakistan sites.uol.edu.pk/iswsn nd Microoptics Conference (MOC) Convention Hall, Institute of Industrial Science, Tokyo, Japan IEEE International Conference on Cybernetics and Intelligent Systems (CIS) and IEEE Conference on Robotics, Automation and Mechatronics (RAM) Pan Pacific Ningbo, Ningbo, China Brazilian Power Electronics Conference (COBEP) Federal University of Juiz de Fora Campus UFJF, Juiz de Fora, Brazil Progress in Electromagnetics Research Symposium - Fall (PIERS - FALL) Nanyang Technological University, Singapore piers.org/piers2017singapore/ 570 _ The Magazine of the IEIE 76

81 일자학술대회명개최장소홈페이지 / 연락처 IEEE International Conference on Cybernetics and Computational Intelligence (CyberneticsCom) prince songkla University phuket, Thailand th International Conference on Control, Instrumentation, and Automation (ICCIA) Shiraz University, Shiraz, Iran iccia.ir/?lang=en International Conference on Electrical and Computing Technologies and Applications (ICECTA) American University of Ras Al Khaimah, United Arab Emirates icecta.aurak.ac.ae th Telecommunication Forum (TELFOR) Sava Center, Belgrade, Serbia telfor.rs Electronic Congress (E-CON UNI) Sheraton Lima Hotel & Convention Center, Lima, Peru Lima Peru e-con@uni.edu.pe Nirma University International Conference on Engineering (NUiCONE) Institute of Technology, Nirma University, Ahmedabad, India International Conference on Inventive Computing and Informatics (ICICI) Hotel Arcadia, India icici17.com/index.html International Conference on Intelligent Informatics and Biomedical Sciences (ICIIBMS) Okinawa Institute of Science and Technology Graduate University(OIST), Okinawa, Japan International Conference on Multimedia, Signal Processing and Communication Technologies (IMPACT) Dept. of Electronics Engineering, AMU, Aligarh, India th NAFOSTED Conference on Information and Computer Science University of Engineering and Technology, Vietnam National University, Hanoi, Vietnam nics2017.org th IEEE International Conference on Software Engineering and Service Science (ICSESS) Chen Xing, Beijing, China IEEE International Conference on Antenna Innovations & Modern Technologies for Ground, Aircraft and Satellite Applications (iaim) TBD, Bangalore, India th International Conference on Network and Service Management (CNSM) Waseda University, Tokyo, Japan IEEE Symposium Series on Computational Intelligence (SSCI) Hilton Hawaiian Village Resort in Waikiki, USA bonissone@gmail.com International Conference on Robotics, Automation and Sciences (ICORAS) Multimedia University, Melaka, Malaysia fet.mmu.edu.my/icoras Seventh International Conference on Image Processing Theory, Tools and Applications (IPTA) École de technologie supérieure, QC, Canada th Symposium on Information Theory and its Applications (SITA) Shiratama no Yu Senkei, Shibata, Japan th IEEE International Conference on Engineering Technologies and Applied Sciences (ICETAS) Conference Hall, Salmabad, Bahrain icetas.etssm.org Future Technologies Conference (FTC) TBA, Vancouver, BC, Canada saiconference.com/ftc IEEE Women in Engineering (WIE) Forum USA East Royal Sonesta, Baltimore, MD, USA sites.ieee.org/wie-forum-usa-east th International Conference on Electrical and Electronics Engineering (ELECO) BAOB-Campus of Union of Academic Chambers of Bursa, Bursa, Turkey eleco.org.tr 2017 년 12 월 IEEE 9th International Conference on Humanoid, Nanotechnology, Information Technology, Communication and Control, Environment, and Management (HNICEM ) Hotel Jen, Manila, Philippines hnicem.com/hnicem-2017/ International Conference on Soft Computing and its Engineering Applications (icsoftcomp) CMPICA, Charotar University of Science and Technology, Changa, India Winter Simulation Conference (WSC) IEEE Innovative Smart Grid Technologies - Asia (ISGT-Asia) Red Rock Resort, Las Vegas, NV, USA Science Conference Centre, University of Auckland, Auckland, New Zealand sites.ieee.org/isgt-asia-2017/ 77 전자공학회지 _ 571

82 일자학술대회명개최장소홈페이지 / 연락처 GLOBECOM IEEE Global Communications Conference Marina Sands Bay Convention Center, Singapore International Renewable and Sustainable Energy Conference (IRSEC) Farah Hotel, Tangier, Morocco med-space.org/irsec17/ IEEE Southern Power Electronics Conference (SPEC) Hotel Patagónico, Puerto Varas, Chile IEEE International Electron Devices Meeting (IEDM) Hilton San Francisco Union Square, San Francisco, CA, USA Eleventh International Conference on Sensing Technology (ICST) Macquarie University, Sydney, Australia IEEE Conference on Antenna Measurements & Applications (CAMA) AIST Tsukuba Central 1, Auditorium, Ibaraki, Japan ewh.ieee.org/r10/tokyo/aps/cama2017/ Eighth International Conference on Intelligent Computing and Information Systems (ICICIS) Ain Shams University Guest House, Cairo, Egypt net2.asu.edu.eg/icicis/2017/ th International Electric Drives Production Conference (EDPC) Convention Center Nuremberg, Nuremberg, Germany th IEEE International Conference on Electronics, Circuits and Systems (ICECS) Hilton Batumi, Georgia icecs2017.org/ nd International Conference on the Applications of Information Technology in Developing Renewable Energy Processes & Systems (IT-DREPS) University of Petra, Amman, Jordan IEEE 19th Electronics Packaging Technology Conference (EPTC) Grand Copthorne Waterfront Hotel, Singapore rd International Conference on Electrical Information and Communication Technology (EICT) Khulna University of Engineering & Technology, Khulna, Bangladesh International Conference on Intelligent Sustainable Systems (ICISS) SCAD Institute of Technology, Palladam, India icoiss.com/index.php International Workshop on Complex Systems and Networks (IWCSN) Student Center at Education City, Doha, Qatar IEEE International Conference on Industrial Engineering and Engineering Management (IEEM) Suntec Singapore Convention & Exhibition Centre, Singapore rd Asia-Pacific Conference on Communications (APCC) TBD, Perth, Australia IEEE MTT-S International Microwave and RF Conference (IMaRC) Hotel Hyatt Regency, Ahmedabad, India World Congress on Internet Security (WorldCIS) University of Cambridge, United Kingdom IEEE International Conference on Cloud Computing Technology and Science (CloudCom) Hotel ICON, Hong Kong 2017.cloudcom.org/ IEEE International Conference on Big Data (Big Data) The Westin Copley Place, Boston, MA, USA cci.drexel.edu/bigdata/bigdata2017/ IEEE 56th Annual Conference on Decision and Control (CDC) Melbourne Convention Centre, Melbourne, Australia cdc2017.ieeecss.org/ IEEE 12th International Conference on Power Electronics and Drive Systems (PEDS) Hawaii Convention Center, Honolulu, HI, USA IEEE 6th International Conference on Teaching Assessment, and Learning for Engineering (TALE) TBD, Hong Kong tale-conference.org/ Saudi Arabia Smart Grid (SASG) Jeddah, Saudi Arabia bander@ieee.org Asia-Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA ASC) Aloft Kuala Lumpur Sentral, Kuala Lumpur, Malaysia apsipa2017.org/ International Conference on Transforming Engineering Education (ICTEE) Maharashtra Institute of Technology, Pune, India rd IEEE International Conference on Computer and Communications (ICCC) Tibet Hotel Chengdu, China _ The Magazine of the IEIE 78

83 일자학술대회명개최장소홈페이지 / 연락처 TRON Symposium (TRONSHOW Tokyo Midtown Hall, Tokyo, Japan IEEE International Conference on Computational Intelligence and Computing Research (ICCIC) Tamilnadu College of Engineering, Coimbatore, India IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS) ZJU-UIUC International Campus, Hangzhou, China wyyin@zju.edu IEEE International Conference on Industrial and Information Systems (ICIIS) University of Peradeniya, Sri Lanka IEEE 23rd International Conference on Parallel and Distributed Systems (ICPADS) Hotel Hilton Shekou Nanhai, Shenzhen, China futurenet.szu.edu.cn/icpads2017/ IEEE 2nd Information Technology, Networking, Electronic and Automation Control Conference (ITNEC) Xinhua International Hotel, Chengdu, China nd International Conference On Emerging Computation and Information Technologies (ICECIT) Siddaganga Institute of Technology, Tumakuru, India icecit.sit.ac.in IEEE Automatic Speech Recognition and Understanding Workshop (ASRU) Okinawa Convention Center, Okinawa, Japan asru2017.org/ th Asian Control Conference (ASCC) Gold Coast Convention and Exhibition Centre, Australia IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS) CV Raman College of Engineering, Bhubaneswar, India National Power Electronics Conference (NPEC) College of Engineering Pune, Pune, India International Conference on Infocom Technologies and Unmanned Systems (Trends and Future Directions) (ICTUS) Amity University Dubai Campus, United Arab Emirates th IEEE International Conference on Machine Learning and Applications (ICMLA) cancun, Mexico th International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT) International Building, National Taiwan University of Science and Technology, Taipei, Taiwan pdcat17.csie.ntust.edu.tw/ International Conference on Frontiers of Information Technology (FIT) Serena Hotel, Islamabad, Pakistan IEEE 24th International Conference on High Performance Computing (HiPC) Le Meridien, Jaipur, India International Conference on Open Source Systems & Technologies (ICOSST) Lahore, Pakistan icosst.kics.edu.pk IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT) TBD, Bangalore, India ieee-conecct.org/ Nineteenth International Middle East Power Systems Conference (MEPCON) Al-Masah Hotel, Egypt nd International Conference on Man and Machine Interfacing (MAMI) C.V.Raman College of Engineering, Bhubaneswar, India mami2017.webs.com/ International Conference on Technological Advancements in Power and Energy ( TAP Energy) Amrita University, Kollam, India tapenergy.amrita.ac.in th International Conference on Power Systems (ICPS) College of Engineering Pune, Pune, India Fourth International Conference on Image Information Processing (ICIIP) Jaypee University of Information Technology, Solan, India International Conference on Information Technology (ICIT) Silicon Institute of Technology, Bhubaneswar, India ww.icit2017.net IEEE International Conference on Telecommunications and Photonics (ICTP) Dhaka, Bangladesh ictp-comsoc.org/ th International Conference on Emerging Technologies (ICET) Capital University of Science and Technology, Islamabad, Pakistan 79 전자공학회지 _ 573

84 The Magazine of the IEIE 특별회원사및후원사명단 회원명 대표자 주소 전화 홈페이지 AP 위성통신 류장수 서울시금천구가산디지털2로 98 2동 9층 FCI 한상우 경기도성남시분당구판교로 255번길 35( 삼평동 ) 실리콘파크 B동 7층 I&C테크놀로지 박창일 경기도성남시분당구판교로 255번길 24 아이앤씨빌딩 KT 황창규 경기도성남시분당구정자동 LDT 정재천 충남천안시서북구한들1로 WE빌딩 LG전자 구본준 서울시영등포구여의도동 LIG 넥스원 이효구 서울시서초구강남대로 369( 서초동, 나라빌딩 ) RadioPulse 권태휘 경기도성남시분당구대왕판교로 660 유스페이스1A 1106호 ( 삼평동 ) SK Telecom 장동현 서울특별시중구을지로65( 을지로2가 ) SK T-타워 SK 하이닉스 박성욱 경기도이천시부발읍아미리산 국제종합측기 박재욱 서울특별시강남구강남대로 354 ( 역삼동 831, 혜천빌딩 10F, 12F) 나노종합기술원 이재영 대전광역시유성구대학로 291 ( 구성동, 한국과학기술원 ) 네이버 김상헌 경기도성남시분당구불정로 6 ( 정자동그린팩토리 ) 넥서스칩스 Douglas M. Lee 서울시강남구역삼동 넥스트칩 김경수 경기도성남시분당구판교로 323 벤처포럼빌딩 넥스파시스템 이상준 서울특별시성동구자동차시장1길 누리미디어 최순일 서울시영등포구선유로 63, 4층 ( 문래동 6가 ) 다빛센스 강영진 경기도성남시중원구사기막골로 124, Skn테크노파크비즈동 다우인큐브 이예구 경기도용인시수지구디지털벨리로 81 ( 죽전동디지털스퀘어 2층 ) 대구테크노파크 송인섭 대구시달서구대천동 대덕G.D.S 이희준 경기도안산시단원구산단로 63( 원시동 ) 대덕전자 김영재 경기도시흥시소망공원로 335 ( 정왕동 ) 대성전기 이철우 경기도안산시단원구산단로 31 ( 원시동, 8-27블럭 ) ( 재 ) 대전테크노파크 권선택 대전시유성구테크노9로 35 대전테크노파크 더즈텍 김태진 경기도안양시동안구학의로 292 금강펜테리움IT타워 A동 1061호 덴소풍성전자 김경섭 경남창원시성산구외동 동부하이텍 최창식 경기도부천시원미구수도로 동아일렉콤 손성호 경기도용인시처인구양지면남곡로 동운아나텍 김동철 서울시서초구서초동 아리랑타워 9층 디엠티 김홍주 대전광역시유성구테크노11로 라온텍 김보은 경기도성남시분당구황새울로360번길 42, 18층 ( 서현동 AK플라자 ) 라이트웍스 서인식 서울강남구테헤란로88길 14, 4층 ( 신도리코빌딩 ) 만도 성일모 경기도성남시분당구판교로 255번길 문화방송 안광한 서울시마포구성암로 삼성전자 권오현 서울시서초구서초2동 삼성전자빌딩 삼화콘덴서 황호진 경기도용인시처인구남사면경기동로 227 ( 남사면북리 124) 서연전자 조명수 경기도안산시단원구신원로 세미솔루션 이정원 경기도용인시기흥구영덕동 1029 흥덕U타워지식산업센터 20층 2005호 세원텔레텍 김철동 경기도안양시만안구전파로44번길 스카이크로스코리아 조영민 경기수원시영통구영통동 디지털엠파이어빌딩 C동 801호 _ The Magazine of the IEIE 80

85 회원명 대표자 주소 전화 홈페이지 ( 주 ) 시솔 이우규 서울시강서구공항대로 61길 29 서울신기술센터 A동 202호 실리콘마이터스 허염 경기도성남시분당구대왕판교로 660 유스페이스-1 A동 8층 실리콘웍스 한대근 대전시유성구탑립동 싸인텔레콤 성기빈 서울시영등포구경인로 775, 문래동 3가에이스하이테크시티 1동 119호 ( 주 ) 쏠리드 정준, 이승희 경기도성남시분당구판교역로 220 쏠리드스페이스 씨자인 김정표 경기성남시분당구구미동 보명프라자 아나패스 이경호 서울시구로구구로동 신세계아이앤씨디지털센타 7층 아바고테크놀로지스 전성민 서울시서초구양재동 아이닉스 황정현 수원시영동구덕영대로 1556번길 16, C동 1004호 ( 영통동, 디지털엠파이어 ) 아이디어 황진벽 서울마포구연남동 번지대원빌딩 5층 아이언디바이스 박기태 서울강남구신사동 예영빌딩 402호 아이에이 김동진 서울송파구송파대로 22길 5-23 ( 문정동 ) 안리쓰코퍼레이션 토루와키나가 경기도성남시분당구삼평동 681번지 H스퀘어 N동 5층 502호 알파스캔디스플레이 류영렬 서울특별시강서구허준로 217 가양테크노타운 202호 에디텍 정영교 경기도성남시분당구삼평동 621번지판교이노벨리 B동 1003호 에스넷시스템 박효대 서울특별시강남구선릉로 514 ( 삼성동 ) 성원빌딩 10층 에스엘 이충곤 경북경산시진량읍신상리 에이치앤티테크 강임성 대전광역시유성구용산동 에이투테크 김현균 경기도성남시수정구복정동 상헌빌딩 3층 엠텍비젼 이성민 경기도성남시분당구판교로 255번길 58 6층 601호 오픈링크시스템 성재용 광주광역시서구치평로 112 정연하이빌 402호 우양신소재 윤주영 대구광역시북구유통단지로 8길 유라코퍼레이션 엄병윤 경기도성남시분당구삼평동 유텔 김호동 경기도군포시당정동 이노피아테크 장만호 경기도상남시중원구갈마치로 215 A동 405호 주식회사이디 박용후 경기도성남시중원구상대원동 ( 둔촌대로457번길 14) 자람테크놀로지 백준현 경기도성남시분당구야탑동 파인벤처빌딩 2층 , 전자부품연구원 박청원 경기도성남시분당구새나리로 25 ( 야탑동 ) 주식회사제이엔티이엔지 최승훈 경기도성남시중원구사기막골로 148, 701호 ( 상대원동, 중앙이노테크 ) 제퍼로직 정종척 서울강남구역삼1동 아주빌딩 1801호 지에스인스트루먼트 고재목 인천시남구길파로71번길 70 ( 주안동 ) 지엠테스트 고상현 충남천안시서북구직산읍군서1길 19( 군서리 134) 충북테크노파크 남창현 충북청주시청원구오창읍연구단지로 케이던스코리아 ( 유 ) 제임스해댓 경기도성남시분당구판교로 344 엠텍IT타워 9층 (main office)/2층 코아리버 배종홍 서울시송파구가락본동 78번지 IT벤처타워서관 11층 콘티넨탈오토모티브시스템선우현 경기도성남시분당구판교역로 220 솔리드스페이스빌딩 클레어픽셀 정헌준 경기도성남시분당구판교로 242 판교디지털센터 A동 301호 키움인베스트먼트 박상조 서울특별시영등포구여의나루로4길 18 키움파이낸스스퀘어빌딩 16층 텔레칩스 이장규 서울특별시송파구올림픽로35다길 42 ( 신천동, 루터빌딩 19층 ~23층 ) 티에이치엔 채석 대구시달서구갈산동 티엘아이 김달수 경기도성남시중원구양현로 405번길 12 티엘아이빌딩 파워큐브세미 강태영 경기도부천시오정구석천로397( 부천테크노파크쌍용3차 ) 103동 901호 페어차일드코리아반도체 김귀남 경기도부천시원미구도당동 하이브론 이홍섭 인천광역시부평구청천동안남로402번길 25 3층 전자공학회지 _ 575

전자공학회지 2014.2월호 시안최종2:레이아웃 1 14. 02. 20 오?? 5:18 페이지 1 ISSN 1016-9288 제41권 2호 2014년 2월호 The Magazine of the IEIE 제 4 1 권 제 2 호 ( 2 0 1 4 년 2 월 ) 융 합 영 상 진 단 vol.41. no.2 융합영상진단 및 영상유도 치료 기술 동향 융합영상진단 및

More information

전자공학회지 2014.1월호 시안최종:레이아웃 1 14. 01. 21 오?? 3:24 페이지 1 ISSN 1016-9288 제41권 1호 2014년 1월호 The Magazine of the IEIE 제 4 1 권 vol.41. no.1 제 1 호 ( 2 0 1 4 년 1 월 ) 자 동 차 자동차 전자 자율주행 자동차 개발현황 및 시사점 차량/운전자 협력 자율주행

More information

1111

1111 4 분당판 20권 21호 2014년 5월 25일 생명순활동상활 생명순활동상황 생명순 보고는 토요일 오전까지 마쳐주십시오. 보고자 : 김연호 목사 010-9251-5245 보고 : 각 교구 조장님께서 교구 사역자에게 보고해 주세요. 분당판 20권 21호 2014년 5월 25일 생명순활동상황 전도실적은 전도 한 분이 소속한 교구의 생명순에 전도한 인원수를 추가합니다.

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 6호 2016년 6월호 제 4 3 권 제 6 호 The Magazine of the IEIE vol.43. no.6 ( ) 2 0 1 6 년 6 월 스 마 트 공 장 동 향 및 이 슈 스마트 공장 동향 및 이슈 스마트공장 기술 동향 및 R&D로드맵 스마트 공장을 위한 사물인터넷 기술 동향 스마트공장 표준화 동향과 시스템 구조

More information

098-103감사인사

098-103감사인사 감사인 소식 ❶ 감 사 원 2016년 고위감사공무원 등 임명장 수여 승 김주성, 한상준, 고현정, 김창우, 이태훈, 신영은, 진 2015. 11. 1. 한민주, 이덕영, 김호준, 이철재, 함유진, 정진화, 부감사관 김현태, 한승목, 윤일기, 정용현 유희연, 이은정 2016. 1. 18. 일반직고위감사공무원 IT감사단장 이남구 방송통신주사 이유리 일반직고위감사공무원

More information

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다.

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-9 호 소속 : 동신대학교 성명 : 유근창 귀하를본학회총무이사로위촉합니다. 제 2015-10 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-11 호 소속 : 대전보건대학교 성명 : 백승선 귀하를본학회사업이사로위촉합니다. 제 2015-12 호 소속 : 부산과학기술대학교 성명 : 신장철 귀하를본학회법제이사로위촉합니다.

More information

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 (

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 2016 학년도대학별논술고사일정 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 일 ) 경북대 11 월 21 일 ( 토 ) 경희대 [ 자연 1, 인문, 예체능 ] 11 월 14(

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도 ISSN 1016-9288 제44권 1호 2017 년 1 월호 The Magazine of the IEIE vol.44. no.1 반도체산업의두번째도약 지능형 SoC 와그응용 나노공정시대의아날로그반도체기술 융합기술시대에서반도체의역할 Fabless 산업과반도체산업의도약 반도체설계교육센터 (IDEC) 와반도체산업 www.theieie.org 2017년도 임원 및

More information

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인 2009 년제 56 차교원교육학술대회 교원성과상여금제도의 진단및개선방향 일시 : 2009 년 12 월 4 일 [ 금 ] 13:00-17:10 장소 : 서울교육대학교전산관 1 층 후원 : 교육과학기술부 한국교원교육학회 학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대

More information

0904-6부 tta부록

0904-6부 tta부록 1988 6부 Appendix 부록 2008 우리 경제가 이처럼 비약적인 성장을 거듭할 수 있었던 중요한 요소 중, 하나를 꼽으라고 한다면 단연 정보통신기술의 발전을 빼놓을 수 없을 것이다. 현재의 대한민국은 세계 IT시장의 변화를 이끌어가는 명실상부한 경쟁력을 자랑하고 있다. 연표 정보통신표준 제 개정 현황 임원 부서장 표준 제 개정 및 폐지 절차 이사회

More information

ÈûÂù100102

ÈûÂù100102 www.himchanhospital.com 2010 01+02 www.himchanhospital.com Contents Himchan People 02 04 07 08 10 12 14 15 16 17 18 Himchan network 19 20 22 Special Theme 04 + 05 06 + 07 } 08 + 09 I n t e r v i e w 10

More information

41-5....

41-5.... ISSN 1016-9288 제41권 5호 2014년 5월호 제 4 1 권 제 5 호 ( ) 2 0 1 4 년 5 월 SSD (Solid State Drive) The Magazine of the IEIE vol.41. no.5 SSD (Solid State Drive) SSD (Solid State Drive)를 이루는 기술과 미래 SSD의 등장에 따른 OS의

More information

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference 일 시 2014년 3월 6일 ( 목 ) 14:00-17:20

More information

ISSN 1016-9288 제44권 5호 2017 년 5 월호 The Magazine of the IEIE vol.44. no.5 IoT 보안기술의동향및위협 IoT 보안이슈및국내외보안기술개발동향 IoT 융합보안의동향분석및보안강화방안 IoT 용보안기능내장칩과현황소개 IoT 및센서기반자동채점운전면허시험개선프로그램개발과현장적용방안 산업용사물인터넷 (IIoT) 시장전망과기술동향

More information

08학술프로그램

08학술프로그램 www.kafle.or.kr Foreign Language Teachers Expertise 01 01 02 03 04 05 06 07 한국외국어교육학회 2008년 겨울학술대회 학술대회 관련 문의 좌장: 이강국 (대학원 309호) 13:30~14:00 명사구 내 형용사의 위치와 의미 유은정 이상현 곽재용 14:00~14:30 스페인어 문자체계의 발달과정 연구

More information

41-4....

41-4.... ISSN 1016-9288 제41권 4호 2014년 4월호 제 4 1 권 제 4 호 ( ) 2 0 1 4 년 4 월 차 세 대 컴 퓨 팅 보 안 기 술 The Magazine of the IEIE 차세대 컴퓨팅 보안기술 vol.41. no.4 새롭게 진화하는 위협의 패러다임 - 지능형 지속 위협(APT) 인터넷을 통해 유포되는 악성 프로그램 대응전략 차세대

More information

ISSN 1016-9288 제45권 6호 2018 년 6 월호 The Magazine of the IEIE vol.45. no.6 4 차산업혁명과스마트제조기술및서비스동향 스마트공장표준화필요성및국내 외표준화동향 Industry 4.0을위한가변재구성제조시스템 (RMS4.0) 스마트공장정책및산업동향 스마트팩토리공급산업육성을위한테스트베드구축사례연구 스마트팩토리를위한가변재구성유연생산기술

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납 ISSN 1016-9288 제44권 12호 2017 년 12 월호 The Magazine of the IEIE vol.44. no.12 고령친화산업기술개발동향 고령친화산업시장현황및전망 사례중심의고령친화제품기술동향 고령사회시대중재적간호를위한지능형욕창방지매트리스 고령자의보행을위한고령친화용품의개발 고령친화형가정식대체식품동향 www.theieie.org 2018년도

More information

1842È£-º»¹®

1842È£-º»¹® 제1842호 2007. 4. 23 사랑받은 50년! 정성으로 보답하겠습니다 가톨릭중앙의료원 개원기념식 거행 (의료원/성모/강남성모/의정부성모병원) 가톨릭중앙의료원 개원기념식 2007년 5월 3일 (목) 10:30 ~, 가톨릭의과학연구원 2층 대강당 가톨릭의대 성모병원 개원71주년 기념식 2007년 5월 3일(목) 7:50~, 성모병원(여의도) 본관 4층 강당

More information

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사 ISSN 1016-9288 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사곱셈기설계 저전력디지털신호처리가속기설계기술 CORDIC 을이용한저면적, 저전력신호처리 VLSI

More information

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연 이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 2017. 6. 22 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연구위원송대성박사前 ) 세종연구소소장방효복예 ) 중장前 ) 국방대학교총장남성욱박사고려대행정전문대학원장이원우박사前

More information

01....-1

01....-1 ISSN 1016-9288 제41권 7호 2014년 7월호 제 4 1 권 제 7 호 ( ) 2 0 1 4 년 7 월 E M P 영 향 과 필 터 개 발 동 향 The Magazine of the IEIE EMP 영향과 필터 개발동향 vol.41. no.7 전자부품에 미치는 고에너지 전자기파의 영향 전도성 전자파 해석 연구 동향 분석 HEMP 필터 개발 동향

More information

ISSN 1016-9288 제44권 10호 2017 년 10 월호 The Magazine of the IEIE vol.44. no.10 미래유망기술표준및시험인증동향 유망시험인증서비스전략로드맵 대용량에너지저장장치안전성시험 국내주요정보보호의무제도동향 가상현실표준화현황사용자이용을중심으로 항공분야극한전자기환경극복기술시험평가기술동향 www.theieie.org Contents

More information

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 12호 2018 년 12 월호 The Magazine of the IEIE vol.45. no.12 스마트웨어러블기기에대한기술동향및전망 스마트웨어러블기기의 IEC 국제표준화동향 스마트웨어러블기기를위한전기변색기술동향 스마트의류시장현황및기술이슈 E-textiles 표준화동향 스마트웨어러블기기를위한형태가변형디스플레이기술동향및전망

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 4호 2016 년 4 월호 The Magazine of the IEIE vol.43. no.4 5G 이동통신동향 : 서비스, 표준화, 유망기술 5G 서비스에서의패러다임시프트 5G 이동통신표준화및주파수동향 Effective Self-interference Cancellation for In-band Full-duplex Massive

More information

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크 Individual BC1 Pool A Standing 1 101 유원종 여수동백원 3 1 1 8 8 0 2 114 이유진 모자이크 3 1 1 4 2 0.666666667 3 115 박상호 경남부치소 3 1 1 5 6-0.333333333 4 128 권오찬 충남보치아연맹 3 1 1 5 6-0.333333333 Individual BC1 Pool A Game

More information

04 특집

04 특집 특집 도서관문화 Vol.51 NO.5(2010.5) 시작하는 말 18 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크란? 19 도서관문화 Vol.51 NO.5(2010.5) 20 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크, 환경에 따라 변모하다 21 도서관문화 Vol.51 NO.5(2010.5) 소셜 네트워크와 도서관을 결합시키다

More information

???춍??숏

???춍??숏 Suseong gu Council Daegu Metropolitan City www.suseongcouncil.daegu.kr Contents SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY 10 www.suseongcouncil.daegu.kr 11 SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY

More information

필수연구자료들의가치를높여줄최상의플랫폼을소개합니다. 검색, 문제해결, 의사결정지원분석기능까지모두갖춘만능솔루션 Authorized Dealer in Korea http://www.kitis.co.kr TEL. 02-3474-5290( 代 ) Contents 제 43 권 8 호 (2016 년 8 월 ) 대한전자공학회 70 년사 12 대한민국광복 71 년, 대한전자공학회

More information

°ÇÃà8¿ùÈ£Ãâ·Â

°ÇÃà8¿ùÈ£Ãâ·Â 2010 FIFA WORLD CUP SOUTH AFRICA 2 I I 3 4 I I 5 6 I I 7 8 I I 9 10 I NEWS 2010년 7월 10일(토) 지난 6월 21일 발표된 '제23회 광주광 역시 미술대전' 심사결과 건축 부문의 44점 출품 작품 중, 대상에 김아름, 김 지인, 한강우 팀이 출품한 The line : Time passage 이

More information

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9 오늘날 자동차와 도로는 ICT 기술과 융합되어 눈부시게 발전하고 있습니다. 자동차는 ICT 기술과 접목되어 스마트 자동차로 변화하며 안전하고 편리하며 CO 2 방출을 줄이는 방향으로 기술개발을 추진하고 있으며 2020년경에는 자율 주행 서비스가 도입될 것으로 전망하고 있습니다. 또한, 도로도 ICT 기술과 접목되어 스마트 도로로 변화하며 안전하고 편리하며 연료

More information

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Tae-HoonYoon

More information

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위 ISSN 1016-9288 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위한 LEGO Mindstorms EV3 연구 www.theieie.org Together,

More information

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 ISSN 1016-9288 제45권 1호 2018 년 1 월호 The Magazine of the IEIE vol.45. no.1 AI( 인공지능 ) 반도체 머신러닝가속기연구동향 효율적메모리관리를통한모바일 CNN 가속기의최적화 에너지고효율인공지능하드웨어 인공지능하드웨어설계및최적화기술 뉴로모픽컴퓨팅기술 인공지능반도체 NM500 www.theieie.org 2018년도

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Sang-IlSeok

More information

전자공학회지.11월호_레이아웃 1 16. 11. 22 오전 10:47 페이지 2 ISSN 1016-9288 제43권 11호 2016년 11월호 The Magazine of the IEIE 제 4 3 권 제 11 호 vol.43. no.11 ( 2 0 1 6 년 11 월 머신 러닝 기반 머신 비젼 최신 기술 동향 딥러닝 기법을 이용한 머신 비젼 기술 최근 응용

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

1362È£ 1¸é

1362È£ 1¸é www.ex-police.or.kr 2 3 4 5 6 7 시도 경우회 소식 2008年 4月 10日 木曜日 제1362호 전국 지역회 총회 일제 개최 전남영광 경우회 경북구미 경우회 서울양천 경우회 경남마산중부 경우회 경북예천 경우회 서울동대문 경우회 충남연기 경우회 충남예산 경우회 충남홍성 경우회 대전둔산 경우회 충북제천 경우회 서울수서 경우회 부산 참전경찰회(부산진)

More information

ISSN 1016-9288 제45권 10호 2018 년 10 월호 The Magazine of the IEIE vol.45. no.10 가상현실 (VR) 을위한통신기술 무선 VR 서비스를위한통신네트워크시스템고선명영상지원초저지연무선 VR 전송기술무선 VR 플랫폼기술동향과전망무선 VR을위한무선랜표준기술분석가상현실 VR 오디오 VR 콘텐츠어지럼증의유발요인에대한이해와저감방안

More information

RR hwp

RR hwp 국가 e- 러닝품질관리센터운영을위한 e- 러닝품질관리가이드라인 연구책임자 연구협력관 도움을주신분들 김선태 ( 한국직업능력개발원 ) 김재현 ( 부산교육연구정보원 ) 김태민 ( 인천교육과학연구원 ) 김홍래 ( 춘천교육대학교 ) 문수영 ( 크레듀 ) 박상섭 ( 경남교육과학연구원 ) 박치동 ( 서울시교육청 ) 서유경 ( 한국교육과정평가원 ) 서준호 ( 한국교원연수원

More information

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And 2017 학년도 IT 융합학습동아리 2 차선정자명단 번호 팀명 지도교수 번호 학번 학과 학년 비고 지원금액 ( 원 ) 1 20164047 전기공학과 2 대표학생 2 20164043 전기공학과 2 구성원 1 오합지졸 김기찬 3 20161435 전기공학과 2 구성원 4 20161464 전기공학과 2 구성원 5 20161466 전기공학과 2 구성원 6 20141935

More information

CS.hwp

CS.hwp 보고서 2019-11 2019. 1. 3 CS(065770) IT H/W < 작성기관 : 한국기업데이터 > 보고서 ( 요약 ) 보고서 ( 전문 ) 기업현황산업분석기술분석주요이슈및전망 CS(065770) IT H/W 이 보고서는 자본시장 혁신을 위한 코스닥시장 활성화 방안 의 일환으로 코스닥 기업에 대한 투자정보 확충을 위해, 한국거래소와 한국예탁결제원의 후원을

More information

경남도립거창대학 5 개년발전전략 GPS 2020( 안 )

경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 2016. 3 GPS 2020 본과업은대학발전 TASK FORCE 팀에 의하여연구되었습니다. 2016. 3 경남도립거창대학 5 개년발전전략 GPS 2020 Ⅰ 1. 8 2. 8 3. 12 4. 13 5. 14 6. 16 7. 17 Ⅱ 1. 20 2. 21 3. 24 4. 25 Ⅲ 1. 28 2. 29

More information

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf 2015 학년도 논술 가이드북 K Y U N G H E E U N I V E R S I T Y 2015 학년도수시모집논술우수자전형 1. 전형일정 논술고사 구분 일정 원서접수 2014. 9. 11( 목 ) 10:00 ~ 15( 월 ) 17:00 고사장확인 2014. 11. 12( 수 ) 전형일 2014. 11. 15( 토 ) ~ 16( 일 ) 합격자발표 2014.

More information

ISSN 1016-9288 제44권 9호 2017 년 9 월호 The Magazine of the IEIE vol.44. no.9 로봇산업의발달 지능형로봇산업산업동향과정책방향 원격조종로봇의주행을위한 3차원반구가시화시스템 뱀로봇하늘을날다 지능형 AGV 시스템을위한로드맵및원격제어기술개발사례 Make-Fail-Play 청소년들의창의성을깨우는 WRO www.theieie.org

More information

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도 제 4 2 권 제 1 호 ( ) 2 0 1 5 년 1 월 도체소ISSN 1016-9288 제42권 1호 The Magazine of the IEIE 반도체소자제조기술동향 GaN(Gallium Nitride) 기반전력소자제작기술개발현황 고출력 LED 조명개발및기술개발동향 OLED 기술개발및공정기술동향과시장전망 CMOS Image Sensor(CIS) 제작기술동향

More information

ISSN 1016-9288 제45권 5호 2018 년 5 월호 The Magazine of the IEIE vol.45. no.5 수중 IoT(UIoT:Underwater Internet of Things) 수중통신개요 수중기지국기반수중음파통신기술과활용분야 수중음파통신기술과동향 수중무선광통신기술동향 수중극저주파 (Extremely Low Frequency)

More information

ISSN 1016-9288 제43권 10호 2016 년 10 월호 The Magazine of the IEIE vol.43. no.10 압축센싱을활용한통신및레이더신호처리 압축센싱기술을적용한초광대역수신기개발동향 압축센싱과광대역레이더신호획득기술 실리콘공정기술기반초고속압축센싱용수신기의집적화구현연구 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구형 탐색레이더에서의압축센싱기술기반

More information

수의대소식지5호(10)

수의대소식지5호(10) College of Veterinary Medicine, Seoul National University Newsletter September 2014 No. 5 Tel. 02-880-1231 http://vet.snu.ac.kr CONTENTS 1 7 17 2 9 3 10 No. 5, 2014. 9 2 College of Veterinary Medicine,

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 3호 2018 년 3 월호 The Magazine of the IEIE vol.45. no.3 최신의료진단기술및동향 생체전기물성기반암진단및치료효과모니터링연구 스펙트럼전산화단층촬영의적용및최신동향 흉부디지털단층영상합성시스템소개및최근연구동향 핵의학 PET/CT 의임상적용 헬스케어웨어러블디바이스의기술및시장동향 www.theieie.org

More information

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 세미나자료집 전국초 중 고성교육담당교사워크숍 세미나자료 전국초 중 고성교육담당교사워크숍 일시 2007.12.27( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 워크숍일정표 시간주제사회 10:00-10:20 등록 10:20-10:30 개회사축사 : 신인철과장 ( 교육인적자원부폭력대책팀 ) 10:30-11:10 기조강연 : 학교성교육과교사의역할

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 5 호 2015 년 5 월호 The Magazine of the IEIE vol.42. no.5 차세대멀티미디어서비스를위한기술 실감형원격영상회의를위한시선맞춤기술 Computer Vision 연구자가 Deep Learning 의시대를사는법 실감형컨텐츠를위한무선접속기술 랜덤워크를이용한영상멀티미디어데이터의중요도검출연구 사이버물리멀티미디어시스템활용서비스와통신기술분석

More information

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 1 2 3 4 5 6 7 8 9 9 2010.1 Fashionbiz

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 11 호 2015 년 11 월호 The Magazine of the IEIE 의료영상과응용방안 vol.42. no.11 레디오믹 (Radiomic) 분석과암의료영상 의료초음파영역 : 해부학적영상그이상 의료용내시경의이해와응용 CT 의원리와임상적용 영상유전학 (Imaging genetics) 을통한질병분석 www.theieie.org

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

ISSN 1016-9288 제45권 9호 2018 년 9 월호 The Magazine of the IEIE vol.45. no.9 4 차산업혁명시대의로봇산업 4차산업혁명과로봇산업 소프트로보틱스와피킹기술 4차산업혁명시대의소셜로봇현황과미래전망 드론용구동모듈의기술동향 상지절단자를위한재활보조장치의국내외제품현황및연구동향 www.theieie.org Contents

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

untitled

untitled 외국인환자유치사업활성화및안전성확보방안행사개요 주최 : 보건복지부 주관 : 한국보건산업진흥원 대상 : 유치기관, 지자체, 외국인환자유치사업관심있는모든분 일정 ( 총 3시간 ) 일시장소대상지역 6.13( 수 ) 14:00 17:00 건양대병원암센터 5 층대강당대전 ( 충청 전라 ) 설명회 ( 4 회 ) 6.14( 목 ) 14:00 17:00 부산국제신문사 4 층중강당부산

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

감사회보 5월

감사회보 5월 contents 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 동정 및 안내 상장회사감사회 제173차 조찬강연 개최 상장회사감사회 제174차 조찬강연 개최 및 참가 안내 100년 기업을 위한 기업조직의 역 량과 경영리더의 역할의 중요성 등 장수기업의 변화경영을 오랫동안 연구해 온 윤정구 이화여자대학교

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 2호 2018 년 2 월호 The Magazine of the IEIE vol.45. no.2 차세대동영상압축기술 JVET 차세대비디오부호화표준화동향 차세대비디오부호화의블록분할구조 차세대비디오부호화를위한 JVET 인트라예측기술 FVC 기술소개 - JVET Inter Prediction MPEG-FVC 의딥러닝응용비디오압축기술

More information

ISSN 1016-9288 제44권 11호 2017 년 11 월호 The Magazine of the IEIE vol.44. no.11 Artificial Intelligence, Machine Learning 그리고응용시스템 멀티미디어분석을위한기계학습 딥러닝을이용한객체분류및검출기술 뉴로닉 (NeuronlC) 새로운서비스와메모리아키텍처의변화 고성능 BCI(Brain

More information

ISSN 1016-9288 제44권 8호 2017 년 8 월호 The Magazine of the IEIE vol.44. no.8 딥러닝을포함한인간행동인식최신기술동향 비디오기반행동인식연구동향 지능형자동차를위한야간보행자검출기술 의미론적연관성분석을위한영상정합동향 최근표정인식기술동향 무인비행체임베디드조난자탐지시스템 MPEG-I 표준과 360 도비디오콘텐츠생성 컴퓨터비전및영상처리를위한컬러시스템의이해

More information

41-9....

41-9.... ISSN 1016-9288 제41권 9호 2014년 9월호 제 4 1 권 제 9 호 ( ) 2 0 1 4 년 9 월 첨 단 전 자 시 스 템 의 산 업 기 술 The Magazine of the IEIE vol.41. no.9 첨단 전자시스템의 산업기술 R&D 전략 최신의료기기 기술 및 산업동향 시스템반도체 현황 및 경쟁력 분석 통합모듈형항공전자(IMA) 기술동향

More information

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9 대회명 : 장 소 : 경기장 - 동백체육관 일시 : 2017. 4. 7 ~ 4. 9 Event Total Entry Pool Number Advance Number in a pool Point Qualifier KO Position Individual BC1 - - - - - Individual BC2 - - - - - Individual BC3 43 14

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

나하나로 5호

나하나로 5호 Vol 3, No. 1, June, 2009 Korean Association of CardioPulmonary Resuscitation Korean Association of CardioPulmonary Resuscitation(KACPR) Newsletter 01 02 03 04 05 2 3 4 대한심폐소생협회 소식 교육위원회 소식 일반인(초등학생/가족)을

More information

197

197 방송기자저널 한국방송기자클럽 발행인 양영철 편집인 박노흥 월간 발행처 2015 8August 1990년 6월 20일 창간 서울시 양천구 목동동로 233(목동) 방송회관12층 TEL. 02) 782-0002,1881 FAX. 02) 761-8283 www.kbjc.net 제197호 Contents 02~03 방송이슈 한국방송대상 작품상 33편 개인상 24인 선정

More information

¿µ¿øÇÑÄ£±¸µé-201È*

¿µ¿øÇÑÄ£±¸µé-201È* 2014 Journal of the Korea America Friendship Society (KAFS) Journal of the Korea America Friendship Society (KAFS) LASTING FRIENDS Journal of the Korea America Friendship Society (KAFS) LASTING FRIENDS

More information

전기차보급활성화포럼 전기차보급정책방향ㅣ 211

전기차보급활성화포럼 전기차보급정책방향ㅣ 211 전기차보급정책방향 에너지관리공단부장 l 박성우 전기차보급활성화포럼 전기차보급정책방향ㅣ 211 전기차보급활성화포럼 212 ㅣ 전기차보급정책방향ㅣ 213 전기차보급활성화포럼 214 ㅣ 전기차보급정책방향ㅣ 215 전기차보급활성화포럼 216 ㅣ 전기차보급정책방향ㅣ 217 전기차보급활성화포럼 218 ㅣ 전기차보급정책방향ㅣ 219 전기차보급활성화포럼 220 ㅣ 전기차보급정책방향ㅣ

More information

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E 전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 B PLC (시간) 이기태 A 열전달 김상윤 A 산업디자인 (시간) 최병오 B 전기기기실험 정대성 D 전기기기실험 정대성 B 열전달 김상윤 E 전기기기실험 정대성 C 열전달 김상윤 F 전기기기실험 정대성 D 기계요소설계 이동호 E 기계요소설계 이동호 F 기계요소설계 이동호 A 영어회화

More information

직접비에비례하여간접비가발생하지않는비목의일부를제외하거나 상한을설정하여산정한수정직접비에별표 의해당기관별간접비 비율을곱한금액 별표 의해당기관별간접비비율에 이하의조정비율을적용하여 산정한조정간접비비율을직접비에곱한금액 별표 의해당기관별간접비비율에직접비규모별차별적인조정비율을 적용하

직접비에비례하여간접비가발생하지않는비목의일부를제외하거나 상한을설정하여산정한수정직접비에별표 의해당기관별간접비 비율을곱한금액 별표 의해당기관별간접비비율에 이하의조정비율을적용하여 산정한조정간접비비율을직접비에곱한금액 별표 의해당기관별간접비비율에직접비규모별차별적인조정비율을 적용하 국가연구개발사업기관별간접비계상기준 안 미래창조과학부고시제 호 국가연구개발사업의관리등에관한규정 제 조제 항에따라중앙 행정기관의장이지급하는연구개발비중간접비에대한계상기준을다음과같이고시합니다 년 월 일 미래창조과학부장관 국가연구개발사업기관별간접비계상기준 제 조 목적 이기준은 국가연구개발사업의관리등에관한규정 제 조 제 항에따른간접비중동규정제 조제 항에따라중앙행정기관의

More information

숙소 ( 파인동 17평, 메이플동 21평, 파인동 26평, 메이플동 32평, 노블리안동 56평 ) 에상관없이모두메이플동 2층루비홀에서객실키수령! 등록객실예약자명숙박숙소 ( 등록자 / 대표자 ) 53 고대 * 한양대학교 단체등록 곽호 * 파인동 26평 2박 2월 1일 (

숙소 ( 파인동 17평, 메이플동 21평, 파인동 26평, 메이플동 32평, 노블리안동 56평 ) 에상관없이모두메이플동 2층루비홀에서객실키수령! 등록객실예약자명숙박숙소 ( 등록자 / 대표자 ) 53 고대 * 한양대학교 단체등록 곽호 * 파인동 26평 2박 2월 1일 ( 숙소 ( 파인동 17평, 메이플동 21평, 파인동 26평, 메이플동 32평, 노블리안동 56평 ) 에상관없이모두메이플동 2층루비홀에서객실키수령! 등록객실예약자명숙박숙소 ( 등록자 / 대표자 ) 1 강상 * 국립농업과학원 단체등록 강상 * 파인동 17평 2박 2월 1일 ( 월 ) ~ 3일 ( 수 ) 2 강세 * 연세대학교치과대학구강과학연구소 단체등록 강세 *

More information

untitled

untitled PROGRAM 시간내용 09:30~10:00 등록 10:00~10:10 개회사권태신 ( 한국경제연구원원장 ) 10:10~10:20 기조연설복거일 ( 작가 ) 10:20~10:50 [30 ] 발제 가파른최저임금인상 : 고용재앙 박기성 ( 성신여자대학교경제학과교수 ) 종합토론 10:50~12:00 [70 ] 사회현진권 ( 자유경제원원장 ) 토론김이석 ( 시장경제제도연구소소장

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

173

173 방송기자저널 한국방송기자클럽 발행인 엄효섭 편집인 김벽수 월간 발행처 2013 8August 1990년 6월 20일 창간 서울시 양천구 목1동 923-5 방송회관 12층 T. 02) 782-0002,1881 F. 02) 761-8283 www.kbjc.net 제173호 Contents 02 방송이슈 현오석 부총리 겸 기획재정부 장관 초청 토론회 한국방송대상

More information

한국우주과학회보 한국우주과학회보 ( 표지사진설명 ) 한국우주과학회보제 15 권 2 호, 2006 년 10 월 5 한국우주과학회 2006 년가을학술발표회일정표 일시 : 2006년 10월 26일 ( 목 ) 12:00 ~ 27일 ( 금 ) 12:00 장소 : 한국천문연구원 ( 은하수홀1층소극장, 본관1층대회의실 ) 주관 : 사단법인한국우주과학회후원 : 한국과학기술단체총연합회발표논문

More information

untitled

untitled 13-28 Korea Building Expo in China 2009 www.kobuild.com NO.1 www.duckshin.com The Korea Land Daily 14-15 16 17 19 22 23 24 25 27 I CMYK 20 전 2009년 7월 16일 목요일 면 광 21 고 2009 대한민국 건설환경기술상 국토해양부

More information

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원 학번 이름 전공 학년 상담교수 2006312985 곽정헌 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310678 정연우 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310952 이충의 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007311111 강민주 정보통신대학-반도체시스템공학과 (317304) 4

More information

ISSN 1016-9288 제45권 4호 2018 년 4 월호 The Magazine of the IEIE vol.45. no.4 양자통신과양자컴퓨터기술 양자기술을향한양자물리학의기초개념 양자암호통신개요및기술동향 양자컴퓨터의소개및전망 결함허용양자컴퓨팅연구개발동향 양자정보이론과결함허용양자컴퓨팅 양자알고리즘개발을위한머신러닝기법 www.theieie.org Contents

More information

¿øÀü¼¼»ó-20È£c03ÖÁ¾š

¿øÀü¼¼»ó-20È£c03ÖÁ¾š 아름다운 사람들의 소중한 이야기- 원전세상 원전세상은 원전가족과 함께하는 건강 환경 종합지입니다. 성공노하우 대리점 탐방 원전가족한마당 또 하나의 가족 스파젠 제품리뷰 활성산소 2005 년 총권 20호 www.onejon.co.kr Contents 05 11 26 34 4 onejon world 2005 5 6 onejon world 2005 7 8 onejon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

ISSN 1016-9288 제45권 8호 2018 년 8 월호 The Magazine of the IEIE vol.45. no.8 AR/VR 을위한비전기술및 Deep Learning 최신동향 딥러닝을이용한영상기반 3차원얼굴복원기법의기술동향 시선추적기술의소개 360 도영상을이용한 AR/VR 콘텐츠생성 저용량프로세서를위한딥러닝레이어압축기법과응용 의미적분할을위한약지도기계학습

More information

2015 년농협인재육성장학생합격자명단 정렬 : 가나다순 ( 이름 ) 순번 지역 시군 이름 성별 대학교 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여

2015 년농협인재육성장학생합격자명단 정렬 : 가나다순 ( 이름 ) 순번 지역 시군 이름 성별 대학교 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여 강남대학교 6 경남 거창 강인아 여 서울대학교 7 충북 단양 강재영 남 서울대학교 8 전남 순천 강종현 남 농협대학교 9 제주 서귀포 강주영 여 제주대학교 10 전남 순천 강지원 남 조선대학교

More information

<4D6963726F736F667420576F7264202D2032303133303330385FB1E2BCFAB5BFC7E2BAD0BCAE2DB8F0B9D9C0CF20B3D7C6AEBFF6C5A92DC3D6BFCF2E646F6378>

<4D6963726F736F667420576F7264202D2032303133303330385FB1E2BCFAB5BFC7E2BAD0BCAE2DB8F0B9D9C0CF20B3D7C6AEBFF6C5A92DC3D6BFCF2E646F6378> 2013-03-08 모바일 네트워크 기술 동향 모바일 네트워크의 개념과 기본적인 배경 지식에 대해 소개하고, 최근 업계 동향을 살펴봄 목차 1. 모바일 네트워크 개요...2 2. 3G 네트워크 기술 소개...4 3. LTE-A 최신 동향...7 최완, wanne@etri.re.kr ETRI 차세대콘텐츠연구소 콘텐츠서비스연구실 ETRI 차세대콘텐츠연구소 콘텐츠서비스연구실

More information

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도 전자공학회지 2016.1월호_최종파일_레이아웃 1 16. 01. 25 오후 3:47 페이지 1 ISSN 1016-9288 제43권 1호 2016년 1월호 제 4 3 권 제 1 호 The Magazine of the IEIE vol.43. no.1 ( 2 0 1 6 년 1 월 IoT를 가능하게 하는 반도체 기술 (Semiconductor Technologies

More information

오토 2, 3월호 내지최종

오토 2, 3월호 내지최종 Industry Insight 인사이드 블루투스 자동차와 블루투스의 공존법칙 운전 중 휴대전화 사용을 금지하는 법률이 세계적으로 확산되고 있으며, 블루투스(Bluetooth) 기반의 핸즈프리 기능을 이용하는 것이 이에 대한 확실한 대안으로 자리잡았다. 그러나 차기 무선 멀티미디어 스트리밍에 관해서는 어떤 일이 일어날 지 아무도 알 수 없다. 글 윤 범 진 기자

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

2015 국민대학교 자체평가보고서

2015 국민대학교 자체평가보고서 2015 국민대학교 자체평가보고서 1 2015 국민대학교 자체평가보고서 국민대학교 개황 대학 현황 우리 대학은 민족 수난기에 조국의 광복운동을 이끌어 온 애국자 해공 신익희 선생을 비롯한 상해 임시정부 요인들이 건국에 필요한 인재를 양성하고자 설립한 해방 후 최초의 사립대학이다. 금년으로 개교 65주년을 맞이한 우리 대학교는 해공 선생의 건학이념과 성곡 선생의

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

hwp

hwp 자격제도의비전과발전방안 요약 i ii 요약 요약 iii iv 요약 요약 v vi 요약 요약 vii viii 요약 요약 ix x 요약 요약 xi xii 요약 요약 xiii xiv 요약 요약 xv xvi 요약 요약 xvii xviii 요약 요약 xix xx 요약 제 1 장서론 1 2 자격제도의비전과발전방안 제 1 장서론 3 4 자격제도의비전과발전방안

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

<C1A63132C8B820BFDCB1B9C0CEC5F5C0DAC0FCB9AEB0A120C6F7B7B32E687770>

<C1A63132C8B820BFDCB1B9C0CEC5F5C0DAC0FCB9AEB0A120C6F7B7B32E687770> 설명회자료 15-29 제12회 외국인투자 전문가 포럼 The 12 th Korean FDI Forum FTA를 활용한 외국인 투자 활성화 방안 Promoting FDI via FTAs October 13th(Tues), 14:30-17:00 The 12 th Korean FDI Forum / 제12회 외국인투자 전문가 포럼 The 12 th Korean FDI

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

2011-67 차례 - iii - 표차례 - vii - 그림차례 - xi - 요약 - i - - ii - - iii - 제 1 장서론 대구 경북지역인력수급불일치현상진단과해소방안에대한연구 1) ( ) 574 208 366 263 103 75.6 77.9 74.3 73.0 77.7 19.3 19.2 19.4 20.5 16.5 3.0 1.0 4.1

More information

Untitled-1

Untitled-1 영역별 욕구조사 설문지 예시 자료 3 장애인영역 평택시 사회복지시설 욕구조사 실무도움서 _ 201 202 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 2 3 평택시 사회복지시설 욕구조사 실무도움서 _ 203 204 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 4 평택시 사회복지시설 욕구조사 실무도움서 _ 205 2 3 4 5 6 7

More information