Size: px
Start display at page:

Download ""

Transcription

1 ISSN 제44권 11호 2017 년 11 월호 The Magazine of the IEIE vol.44. no.11 Artificial Intelligence, Machine Learning 그리고응용시스템 멀티미디어분석을위한기계학습 딥러닝을이용한객체분류및검출기술 뉴로닉 (NeuronlC) 새로운서비스와메모리아키텍처의변화 고성능 BCI(Brain Computer Interface) 를위한 SoC 설계기술

2

3

4 채용분야 응시자격 Display Analog 설계 Analog 설계회로경력자 (2 년이상 ) Display Driver IC 개발경력자 (2 년이상 ) 전문연구요원 Display Digital 설계 Display IC(T-con) 개발경력자 (2 년이상 ) 신호처리개발 신호처리관련 / 생체신호처리석사이상 관련경력 1 년이상자우대

5

6

7 Contents 제 44 권 11 호 (2017 년 11 월 ) 학회소식 12 학회소식 / 편집부 14 학회일지 15 특집편집기 / 심정연 특집 : 인공지능 (Artificial Intelligence), 기계학습 (Machine Learning) 그리고응용시스템 16 멀티미디어분석을위한기계학습 / 유창동 26 딥러닝을이용한객체분류및검출기술 / 고성제, 김영현 34 뉴로닉 (NeuronIC) / 박병국 학회지 11 월호표지 (vol 44. No 11) 회지편집위원회 위원장황인철 ( 강원대학교교수 ) 위원권구덕 ( 강원대학교교수 ) 김동규 ( 한양대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김현 ( 부천대학교교수 ) 김호철 ( 을지대학교교수 ) 박종선 ( 고려대학교교수 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 심정연 ( 강남대학교교수 ) 여희주 ( 대진대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이찬수 ( 영남대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정승원 ( 동국대학교교수 ) 최용수 ( 성결대학교교수 ) 허준 ( 고려대학교교수 )) 사무국편집담당변은정차장 ( 내선 3) TEL : (02) ( 대 ) FAX : (02) 학회홈페이지 46 새로운서비스와메모리아키텍처의변화 / 임의철 55 고성능 BCI(Brain Computer Interface) 를위한 SoC 설계기술 / 심훈기, 박정규, 김도균, 김지훈 63 논문지논문목차 정보교차로 65 국내외학술행사안내 / 편집부 72 특별회원사, 단체회원

8 The Magazine of the IEIE 2017 년도임원및각위원회위원 회 장 홍대식 ( 연세대학교교수 ) - 총괄 수석부회장백준기 ( 중앙대학교교수 ) - 총괄, 영문논문지 고 문 구원모 ( 전자신문사대표이사 ) 김기남 ( 삼성전자 사장 ) 박성욱 (SK하이닉스 부회장 ) 박청원 ( 전자부품연구원원장 ) 백만기 ( 김 & 장법률사무소변리사 ) 이상훈 ( 한국전자통신연구원원장 ) 이재욱 ( 노키아티엠씨명예회장 ) 이희국 ( LG 상임고문 ) 천경준 ( 씨젠회장 ) 감 사 백흥기 ( 전북대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 부 회 장 서승우 ( 서울대학교교수 ) - 사업 안승권 (LG 전자 사장 ) - 산학연 이장명 ( 부산대학교교수 ) - 회원, 지부, 표준화 임혜숙 ( 이화여자대학교교수 ) - 학술 최천원 ( 단국대학교교수 ) - 기획, 국문논문, 교육 / 홍보, 회지 소사이어티회장 이흥노 ( 광주과학기술원교수 ) - 통신소사이어티 전영현 ( 삼성SDI 사장 ) - 반도체소사이어티 김승천 ( 한성대학교교수 ) - 컴퓨터소사이어티 김정태 ( 이화여자대학교교수 ) - 신호처리소사이어티 정길도 ( 전북대학교교수 ) - 시스템및제어소사이어티 원영진 ( 부천대학교교수 ) - 산업전자소사이어티 산업체부회장 김창용 ( 삼성전자 DMC 연구소장 ) 오성목 (KT 사장 ) 협동부회장김기호 ( 에스프린팅솔루션 대표이사 ) 김달수 ( 티엘아이대표이사 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원실장 ) 김수원 ( 고려대학교교수 ) 김종대 ( 한국전자통신연구원책임연구원 ) 김철동 ( 세원텔레텍대표이사 ) 남상엽 ( 국제대학교교수 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박형무 ( 동국대학교교수 ) 성하경 ( 전자부품연구원선임연구본부장 ) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 엄낙웅 ( 한국전자통신연구원소장 ) 유현규 ( 한국전자통신연구원책임연구원 ) 유회준 (KAIST 교수 ) 윤기방 ( 인천대학교교수 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이승훈 ( 서강대학교교수 ) 이윤종 ( 동부하이텍부사장 ) 이재훈 ( 유정시스템 사장 ) 장태규 ( 중앙대학교교수 ) 전성호 ( 솔루엠대표이사 ) 정은승 ( 삼성전자 부사장 ) 정 준 ( 쏠리드대표이사 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 조상복 ( 울산대학교교수 ) 최승원 ( 한양대학교교수 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 호요성 ( 광주과학기술원교수 ) 상임이사 강문식 ( 강릉원주대학교교수 ) - 교육 / 홍보 강성원 ( 한국전자통신연구원본부장 ) - 표준화 공준진 ( 삼성전자 마스터 ) - 사업 김선욱 ( 고려대학교교수 ) - 학술 ( 추계 ) 김수환 ( 서울대학교교수 ) - 논문 김종옥 ( 고려대학교교수 ) - 정보화 노원우 ( 연세대학교교수 ) - 총무 동성수 ( 용인송담대학교교수 ) - 교육 박종일 ( 한양대학교교수 ) - 회원 백광현 ( 중앙대학교교수 ) - 산학연 범진욱 ( 서강대학교교수 ) - 사업 심동규 ( 광운대학교교수 ) - SPC 예종철 (KAIST 교수 ) - 사업 유창동 (KAIST 교수 ) - 사업 윤석현 ( 단국대학교교수 ) - 사업 이충용 ( 연세대학교교수 ) - 재무 이혁재 ( 서울대학교교수 ) - 기획 / 논문 전병우 ( 성균관대학교교수 ) - 학술 ( 하계 ) 정종문 ( 연세대학교교수 ) - 국제협력 / 학술 황인철 ( 강원대학교교수 ) - 회지 산업체이사고요환 ( 매그나칩반도체전무 ) 김태진 ( 더즈텍사장 ) 김현수 ( 삼성전자 상무 ) 박동일 ( 현대자동차 전무 ) 송창현 ( 네이버 CTO) 오의열 (LG 디스플레이 연구위원 ) 윤영권 ( 삼성전자 마스터 ) 조영민 ( 스카이크로스코리아사장 ) 조재문 ( 삼성전자 전무 ) 차종범 ( 구미전자정보기술원원장 ) 최승종 (LG 전자 전무 ) 최진성 (SK텔레콤전무 ) 함철희 ( 삼성전자 마스터 ) 홍국태 (LG 전자 연구위원 ) 이 사 공배선 ( 성균관대학교교수 ) - 학술 ( 하계 ) 권기룡 ( 부경대학교교수 ) - 학술 ( 하계 ) 권기원 ( 성균관대학교교수 ) - 국제협력 권종기 ( 한국전자통신연구원책임연구원 ) - 사업 권혁인 ( 중앙대학교교수 ) - 산학연 김경기 ( 대구대학교교수 ) - 사업 / 산학연 김도현 ( 제주대학교교수 ) - 기획 김동규 ( 한양대학교교수 ) - 회지 김동순 ( 전자부품연구원센터장 ) - 학술 ( 하계 ) 김성진 ( 경남대학교교수 ) - ITC-CSCC 김성호 ( 한국산업기술평가관리원팀장 ) - 학술 ( 하계 ) 김소영 ( 성균관대학교교수 ) - 학술 ( 하계 )

9 김용신 ( 고려대학교교수 ) - 학술 ( 추계 ) 김원종 ( 한국전자통신연구원팀장 ) - 표준화 김원하 ( 경희대학교교수 ) - 학술 ( 하계 ) 김지훈 ( 서울과학기술대학교교수 ) - 총무 / 기획 김창수 ( 고려대학교교수 ) - 회원 김태욱 ( 연세대학교교수 ) - 재무 김태원 ( 상지영서대학교교수 ) - 기획 김형탁 ( 홍익대학교교수 ) - 사업 노태문 ( 한국전자통신연구원책임연구원 ) - 학술 ( 하계 ) 류수정 ( 삼성전자상무 ) - 사업 박수현 ( 국민대학교교수 ) - 기획 박현창 ( 동국대학교교수 ) - 교육 서춘원 ( 김포대학교교수 ) - 학술 ( 하계 ) 성해경 ( 한양여자대학교교수 ) - 교육 / 홍보 손광준 ( 한국산업기술평가관리원 PD) - 총무 송민규 ( 동국대학교교수 ) - 회지 송병철 ( 인하대학교교수 ) - 사업 /SPC 송상헌 ( 중앙대학교교수 ) - 교육 신지태 ( 성균관대학교교수 ) - 학술 ( 하계 ) 심대용 (SK하이닉스상무 ) - 논문 심정연 ( 강남대학교교수 ) - 논문 / 국제협력 안태원 ( 동양미래대학교교수 ) - 논문 안현식 ( 동명대학교교수 ) - 기획 연규봉 ( 자동차부품연구원센터장 ) - 표준화 이광엽 ( 서경대학교교수 ) - 기획 / 산학연 이병근 ( 광주과학기술원교수 ) - 회지 이상근 ( 중앙대학교교수 ) - 기획 / 하계 이성수 ( 숭실대학교교수 ) - 기획 이승호 ( 한밭대학교교수 ) - 회지 이용구 ( 한림성심대학교교수 ) - 논문 이윤식 (UNIST 교수 ) - 교육 / 홍보 이찬호 ( 숭실대학교교수 ) - 교육 / 홍보 이창우 ( 가톨릭대학교교수 ) - 국제협력 이한호 ( 인하대학교교수 ) - 학술 ( 하계 ) 이현중 ( 한국전자통신연구원박사 ) - 논문 인치호 ( 세명대학교교수 ) - 학술 ( 하계 ) 정승원 ( 동국대학교교수 ) - 회지 / 학술 ( 추계 ) 정영모 ( 한성대학교교수 ) - 논문 제민규 (KAIST 교수 ) - 사업 조명진 ( 네이버박사 ) - 정보화 조성현 ( 한양대학교교수 ) - 국제협력 최강선 ( 한국기술교육대학교교수 ) - 학술 ( 하계 ) 한완옥 ( 여주대학교교수 ) - 사업 한재호 ( 고려대학교교수 ) - 정보화 한종기 ( 세종대학교교수 ) - 학술 ( 하계 )/SPC 협동이사 강봉순 ( 동아대학교교수 ) - ITC-CSCC 고정환 ( 인하공업전문대학교수 ) - 산학연 구자일 ( 인하공업전문대학교수 ) - 교육 권구덕 ( 강원대학교교수 ) - 회지 김상효 ( 성균관대학교교수 ) - 학술 ( 하계 ) 김성호 ( 영남대학교교수 ) - 회원 김수찬 ( 한경대학교교수 ) - 논문 김영로 ( 명지전문대학교수 ) - 학술 김영선 ( 대림대학교교수 ) - 산학연 김영진 ( 한국항공대학교교수 ) - 회지 김용석 ( 성균관대학교교수 ) - 학술 ( 하계 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) - 사업 김 현 ( 부천대학교교수 ) - 학술 김현진 ( 단국대학교교수 ) - 사업 남기창 ( 동국대학교교수 ) - 학술 남대경 ( 전자부품연구원선임연구원 ) - 사업 박기찬 ( 건국대학교교수 ) - 표준화 박성홍 (KAIST 교수 ) - 사업 박영준 ( 홍익대학교교수 ) - 총무 박원규 ( 한국나노기술원본부장 ) - 표준화 박재형 ( 전남대학교교수 ) - 논문 박철수 ( 광운대학교교수 ) - SPC 박희민 ( 상명대학교교수 ) - 회원 백상헌 ( 고려대학교교수 ) - 국제협력 변영재 (UNIST 교수 ) - 학술 ( 추계 ) 선우경 ( 이화여자대학교교수 ) - 논문 송진호 ( 연세대학교교수 ) - 국제협력 안성수 ( 명지전문대학교수 ) - 교육 안춘기 ( 고려대학교교수 ) - 정보화 윤상민 ( 국민대학교교수 ) - 정보화 윤성로 ( 서울대학교교수 ) - 회원 이가원 ( 충남대학교교수 ) - 논문 이강윤 ( 성균관대학교교수 ) - 학술 ( 추계 ) 이동영 ( 명지전문대학교수 ) - 사업 이문구 ( 김포대학교교수 ) - 회원 / 정보화 이민영 ( 반도체산업협회본부장 ) - 산학연 이영주 ( 광운대학교교수 ) - 산학연 이채은 ( 인하대학교교수 ) - 학술 ( 추계 ) 임기택 ( 전자부품연구원센터장 ) - 사업 임동건 ( 한국교통대학교교수 ) - 사업 임재열 ( 한국기술교육대학교교수 ) - 학술 ( 하계 ) 장익준 ( 경희대학교교수 ) - 기획 전세영 (UNIST 교수 ) - 사업 정방철 ( 충남대학교교수 ) - 논문 정연호 ( 부경대학교교수 ) - ITC-CSCC 정원기 (UNIST 교수 ) - 사업 정윤호 ( 한국항공대학교교수 ) - 기획 차철웅 ( 전자부품연구원책임연구원 ) - 표준화 채관엽 ( 삼성전자박사 ) - 학술 ( 추계 ) 채영철 ( 연세대학교교수 ) - 총무 최용수 ( 성결대학교교수 ) - 논문 최윤경 ( 삼성전자마스터 ) - 산학연 한영선 ( 경일대학교교수 ) - 학술 ( 하계, 추계 )/SPC 한태희 ( 성균관대학교교수 ) - 교육 / 홍보 허재두 ( 한국전자통신연구원본부장 ) - ITC-CSCC 지부장명단 강원지부 임해진 ( 강원대학교교수 ) 광주 전남지부 이배호 ( 전남대학교교수 ) 대구 경북지부 박정일 ( 영남대학교교수 ) 대전 충남지부 주성순 ( 한국전자통신연구원박사 ) 부산 경남 울산지부 강대성 ( 동아대학교교수 ) 전북지부 송제호 ( 전북대학교교수 ) 제주지부 김경연 ( 제주대학교교수 ) 충북지부 최영규 ( 한국교통대학교교수 ) 호서지부 장은영 ( 공주대학교교수 ) 일 본 백인천 (AIZU대학교교수 ) 미 국 최명준 ( 텔레다인박사 ) 러시아지부 Prof. Edis B. TEN (National University of Science and Technology)

10 The Magazine of the IEIE 자문위원회 위원회명단 위 원 장 김영권 ( 명예회장 ) 부위원장 홍승홍 ( 명예회장 ) 위 원 고성제 ( 고려대학교교수 ) 구용서 ( 단국대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김성대 (KAIST 교수 ) 김수중 ( 명예회장 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 나정웅 ( 명예회장 ) 문영식 ( 한양대학교교수 ) 박규태 ( 명예회장 ) 박성한 ( 명예회장 ) 박진옥 ( 명예회장 ) 박항구 ( 소암시스텔회장 ) 서정욱 ( 명예회장 ) 성굉모 ( 서울대학교명예교수 ) 윤종용 ( 삼성전자상임고문 ) 이문기 ( 명예회장 ) 이상설 ( 명예회장 ) 이재홍 ( 서울대학교교수 ) 이진구 ( 동국대학교석좌교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 임제탁 ( 명예회장 ) 전국진 ( 서울대학교교수 ) 전홍태 ( 중앙대학교교수 ) 정정화 ( 한양대학교석좌교수 ) 기획위원회 위 원 장 이혁재 ( 서울대학교교수 ) 위 원 김지훈 ( 서울과학기술대학교교수 ) 김태원 ( 상지영서대학교교수 ) 안현식 ( 동명대학교교수 ) 이광엽 ( 서경대학교교수 ) 이상근 ( 중앙대학교교수 ) 이성수 ( 숭실대학교교수 ) 장익준 ( 경희대학교교수 ) 정윤호 ( 항공대학교교수 ) 학술연구위원회 위 원 장 전병우 ( 성균관대학교교수 ) - 하계김선욱 ( 고려대학교교수 ) - 추계 위 원 강문식 ( 강릉원주대학교교수 ) 공배선 ( 성균관대학교교수 ) 권기룡 ( 부경대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김상효 ( 성균관대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김소영 ( 성균관대학교교수 ) 김영로 ( 명지전문대학교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원하 ( 경희대학교교수 ) 김철우 ( 고려대학교교수 ) 김 현 ( 부천대학교교수 ) 남기창 ( 동국대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 변영재 (UNIST 교수 ) 서춘원 ( 김포대학교교수 ) 신지태 ( 성균관대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이상근 ( 중앙대학교교수 ) 이채은 ( 인하대학교교수 ) 이한호 ( 인하대학교교수 ) 인치호 ( 세명대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 정승원 ( 동국대학교교수 ) 채관엽 ( 삼성전자박사 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 한종기 ( 세종대학교교수 ) 황인철 ( 강원대학교교수 ) 논문편집위원회 위 원 장 김수환 ( 서울대학교교수 ) 이혁재 ( 서울대학교교수 ) 위 원 김수찬 ( 한경대학교교수 ) 박재형 ( 전남대학교교수 ) 선우경 ( 이화여자대학교교수 ) 심대용 (SK하이닉스상무 ) 심정연 ( 강남대학교교수 ) 안태원 ( 동양미래대학교교수 ) 유명식 ( 숭실대학교교수 ) 이가원 ( 충남대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이현중 ( 한국전자통신연구원박사 ) 정방철 ( 충남대학교교수 ) 정영모 ( 한성대학교교수 ) 최용수 ( 성결대학교교수 ) 한태희 ( 성균관대학교교수 ) 홍민철 ( 숭실대학교교수 ) 국제협력위원회 위 원 장 정종문 ( 연세대학교교수 ) 위 원 권기원 ( 성균관대학교교수 백상헌 ( 고려대학교교수 ) 송진호 ( 연세대학교교수 ) 심정연 ( 강남대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 조성현 ( 한양대학교교수 ) 산학연협동위원회 위 원 장 백광현 ( 중앙대학교교수 ) 위 원 고정환 ( 인하공업전문대학교수 ) 권혁인 ( 중앙대학교교수 ) 김경기 ( 대구대학교교수 ) 김용신 ( 고려대학교교수 ) 남병규 ( 충남대학교교수 ) 민경식 ( 국민대학교교수 ) 박주현 ( 픽셀플러스교수 ) 이광엽 ( 서경대학교교수 ) 이민영 ( 반도체산업협회본부장 ) 이석호 ( 한국전자통신연구원책임연구원 ) 이영주 ( 광운대학교교수 ) 이우주 ( 한국전자통신연구원책임연구원 ) 인치호 ( 세명대학교교수 ) 정방철 ( 충남대학교교수 ) 최윤경 ( 삼성전자마스터 ) 한태희 ( 성균관대학교교수 ) 회원관리위원회 위 원 장 박종일 ( 한양대학교교수 ) 위 원 김성호 ( 영남대학교교수 ) 김진상 ( 경희대학교교수 ) 김창수 ( 고려대학교교수 ) 박희민 ( 상명대학교교수 ) 유창식 ( 한양대학교교수 ) 윤성로 ( 서울대학교교수 ) 이문구 ( 김포대학교교수 ) 이상근 ( 중앙대학교교수 ) 최강선 ( 한국과학기술교육대학교교수 )

11 회지편집위원회 위 원 장 황인철 ( 강원대학교교수 ) 위 원 권구덕 ( 강원대학교교수 ) 김동규 ( 한양대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김 현 ( 부천대학교교수 ) 김호철 ( 을지대학교교수 ) 박종선 ( 고려대학교교수 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 심정연 ( 강남대학교교수 ) 여희주 ( 대진대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이찬수 ( 영남대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정승원 ( 동국대학교교수 ) 최용수 ( 성결대학교교수 ) 허 준 ( 고려대학교교수 ) 사업위원회 위 원 장 공준진 ( 삼성전자마스터 ) 범진욱 ( 서강대학교교수 ) 예종철 (KAIST 교수 ) 유창동 (KAIST 교수 ) 윤석현 ( 단국대학교교수 ) 위 원 권종기 ( 한국전자통신연구원책임연구원 ) 김 훈 (Synopsys Korea 이사 ) 김경기 ( 대구대학교교수 ) 김용훈 ( 옴니C&S 대표이사 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) 김현진 ( 단국대학교교수 ) 김형탁 ( 홍익대학교교수 ) 남대경 ( 전자부품연구원선임연구원 ) 류수정 ( 삼성전자 상무 ) 류현석 ( 삼성전자 마스터 ) 박성홍 (KAIST 교수 ) 변대석 ( 삼성전자 마스터 ) 송병철 ( 인하대학교교수 ) 이동영 ( 명지전문대학교수 ) 이영주 ( 광운대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 임동건 ( 한국교통대학교교수 ) 전세영 (UNIST 교수 ) 정원기 (UNIST 교수 ) 제민규 (KAIST 교수 ) 조상연 ( 삼성전자 상무 ) 최용근 ( 단국대학교교수 ) 한완옥 ( 여주대학교교수 ) 교육홍보위원회 위 원 장 강문식 ( 강릉원주대학교교수 ) 동성수 ( 용인송담대학교교수 ) 위 원 구자일 ( 인하공업전문대학교수 ) 박현창 ( 동국대학교교수 ) 성해경 ( 한양여자대학교교수 ) 송상헌 ( 중앙대학교교수 ) 안성수 ( 명지전문대학교수 ) 이윤식 (UNIST 교수 ) 이찬호 ( 숭실대학교교수 ) 한태희 ( 성균관대학교교수 표준화위원회 위 원 장 강성원 ( 한국전자통신연구원본부장 ) 위 원 구정래 ( 한국심사자격인증원팀장 ) 권기원 ( 성균관대학교교수 ) 김동규 ( 한양대학교교수 ) 김시호 ( 연세대학교교수 ) 김옥수 ( 인피니언코리아이사 ) 김원종 ( 한국전자통신연구원실장 ) 박기찬 ( 건국대학교교수 ) 박원규 ( 한국나노기술원본부장 ) 박주현 ( 픽셀플러스실장 ) 변지수 ( 경북대학교교수 ) 송영재 ( 성균관대학교교수 ) 연규봉 ( 자동차부품연구원센터장 ) 윤대원 ( 법무법인다래이사 ) 이민영 ( 한국반도체산업협회본부장 ) 이상근 ( 성균관대학교교수 ) 이상미 (IITP 팀장 ) 이서호 ( 한국기계전기전자시험연구원과장 ) 이성수 ( 숭실대학교교수 ) 이종묵 (SOL 대표 ) 이하진 ( 한국기초과학지원연구원책임연구원 ) 이해성 ( 전주대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 좌성훈 ( 서울과학기술대학교교수 ) 차철웅 ( 전자부품연구원책임연구원 ) 한태수 ( 국가기술표준원 / 디스플레이산업협회표준코디 ) 홍용택 ( 서울대학교교수 ) 정보화위원회 위 원 장 김종옥 ( 고려대학교교수 ) 위 원 강의성 ( 순천대학교교수 ) 김승구 ( 충북대학교교수 ) 김형탁 ( 홍익대학교교수 ) 안춘기 ( 고려대학교교수 ) 유윤섭 ( 한경대학교교수 ) 윤상민 ( 국민대학교교수 ) 이문구 ( 김포대학교교수 ) 이한호 ( 인하대학교교수 ) 조명진 ( 네이버박사 ) 최강선 ( 한국기술교육대학교교수 ) 한재호 ( 고려대학교교수 ) 지부담당위원회 위 원 장 이장명 ( 부산대학교교수 ) 위 원 강대성 ( 동아대학교교수 ) 김경연 ( 제주대학교교수 ) 박정일 ( 영남대학교교수 ) 백인천 (AIZU대학교교수 ) 송제호 ( 전북대학교교수 ) 이배호 ( 전남대학교교수 ) 임해진 ( 강원대학교교수 ) 장은영 ( 공주대학교교수 ) 주성순 ( 한국전자통신연구원박사 ) 최명준 ( 텔레다인박사 ) 최영규 ( 한국교통대학교교수 ) Prof. Edis B. TEN (National University of Science and Technology) 선거관리위원회 위 원 장 이진구 ( 명예회장 ) 위 원 김지훈 ( 서울과학기술대학교교수 ) 노원우 ( 연세대학교교수 ) 박종일 ( 한양대학교교수 ) 이충용 ( 연세대학교교수 ) 이혁재 ( 서울대학교교수 ) 전병우 ( 성균관대학교교수 )

12 The Magazine of the IEIE 포상위원회 위 원 장 고성제 ( 고려대학교교수 ) 위 원 김수환 ( 서울대학교교수 ) 노원우 ( 연세대학교교수 ) 백준기 ( 중앙대학교교수 ) 유창동 (KAIST 교수 ) 이충용 ( 연세대학교교수 ) 전병우 ( 성균관대학교교수 ) 재정위원회 위원장홍대식 ( 연세대학교교수 ) 위원고성제 ( 고려대학교교수 ) 문영식 ( 한양대학교교수 ) 박병국 ( 서울대학교교수 ) 백준기 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) 정준 ( 쏠리드대표이사 ) 정교일 ( 한국전자통신연구원책임연구원 ) 한대근 ( 실리콘웍스대표이사 ) 인사위원회 위 원 장 홍대식 ( 연세대학교교수 ) 위 원 노원우 ( 연세대학교교수 ) 백광현 ( 중앙대학교교수 ) 백준기 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) SPC 위원회 위 원 장 심동규 ( 광운대학교교수 ) 자문위원 조민호 ( 고려대학교교수 ) 김선욱 ( 고려대학교교수 ) 위 원 김정태 ( 이화여자대학교교수 ) 김태석 ( 광운대학교교수 ) 박철수 ( 광운대학교교수 ) 송병철 ( 인하대학교교수 ) 신원용 ( 단국대학교교수 ) 이상근 ( 중앙대학교교수 ) 이재훈 ( 고려대학교교수 ) 이채은 ( 인하대학교교수 ) 전병우 ( 성균관대학교교수 ) 정승원 ( 동국대학교교수 ) 조남익 ( 서울대학교교수 ) 조성현 ( 한양대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 한종기 ( 세종대학교교수 ) 황인철 ( 강원대학교교수 ) JSTS 위원회 위 원 장 Hoi-Jun Yoo (KAIST) 부위원장 Dim-Lee Kwong (Institute of Microelectronics) 위 원 Akira Matsuzawa (Tokyo Institute of Technology) Byeong-Gyu Nam (Chungnam National Univ.) Byung-Gook Park (Seoul National Univ.) Cary Y. Yang (Santa Clara Univ.) Chang sik Yoo (Hanyang Univ.) Chennupati Jagadish (Australian National Univ.) Deog-Kyoon Jeong (Seoul National Univ.) Dong S. Ha (Virginia Tech) Eun Sok Kim (USC) Gianaurelio Cuniberti (Dresden Univ. of Technology) Hi-Deok Lee (Chungnam Univ.) Hong June Park (POSTECH) Hyoung sub Kim (Sungkyunkwan Univ.) Hyun-Kyu Yu (ETRI) Jamal Deen (McMaster University, Canada) Jin wook Burm (Sogang Univ.) Jong-Uk Bu (Sen Plus) Jun young Park (UX Factory) Kofi Makinwa (Delft Univ. of Technology) Meyya Meyyappan (NASA Ames Research Center) Min-kyu Song (Dongguk Univ.) Moon-Ho Jo (POSTECH) Nobby Kobayashi (UC Santa Cruz) Paul D. Franzon (North Carolina State Univ.) Rino Choi (Inha Univ.) Sang-Hun Song (Chung-Ang Univ.) Sang-Sik Park (Sejong Iniv.) Seung-Hoon Lee (Sogang Univ.) Shen-Iuan Liu (National Taiwan Univ.) Shi ho Kim (Yonsei Univ.) Stephen A. Campbell (Univ. of Minnesota) Sung Woo Hwang (Korea Univ.) Tadahiro Kuroda (Keio Univ.) Tae-Song Kim (KIST) Tsu-Jae King Liu (UC Berkeley) Vojin G. Oklobdzija (Univ. of Texas at Dallas) Weileun Fang (National Tsing Hua Univ.) Woo geun Rhee (Tsinghua Univ.) Yang-Kyu Choi (KAIST) Yogesh B. Gianchandani (Univ. of Michigan, Ann Arbor) Yong-Bin Kim (Northeastern Univ.) Yuhua Cheng (Peking Univ.)

13 Society 명단 통신소사이어티 회 장 이흥노 ( 광주과학기술원교수 ) 부 회 장 윤석현 ( 단국대학교교수 ) 이인규 ( 고려대학교교수 ) 김재현 ( 아주대학교교수 ) 김선용 ( 건국대학교교수 ) 김진영 ( 광운대학교교수 ) 김 훈 ( 인천대학교교수 ) 유명식 ( 숭실대학교교수 ) 오정근 ( ATNS 대표이사 ) 협동부회장 김병남 ( 에이스테크놀로지연구소장 ) 김연은 ( 브로던대표이사 ) 김영한 ( 숭실대학교교수 ) 김용석 (( 주 ) 답스대표이사 ) 김인경 (LG 전자상무 ) 류승문 (( 사 ) 개인공간서비스협회수석부의장 ) 박용석 ( LICT 대표이사 ) 방승찬 ( 한국전자통신연구원부장 ) 연철흠 (LGT 상무 ) 이승호 ( 하이게인부사장 ) 이재훈 ( 유정시스템 대표이사 ) 정진섭 ( 이노와이어리스부사장 ) 정현규 ( 한국전자통신연구원부장 ) 이 사 김성훈 ( 한국전자통신연구원박사 ) 김정호 ( 이화여자대학교교수 ) 노윤섭 ( 한국전자통신연구원박사 ) 방성일 ( 단국대학교교수 ) 서철헌 ( 숭실대학교교수 ) 성원진 ( 서강대학교교수 ) 신요안 ( 숭실대학교교수 ) 윤종호 ( 한국항공대학교교수 ) 윤지훈 ( 서울과학기술대학교교수 ) 이재훈 ( 동국대학교교수 ) 이종창 ( 홍익대학교교수 ) 임종태 ( 홍익대학교교수 ) 장병수 ( 이노벨류네트웍스부사장 ) 조인호 ( 에이스테크놀로지박사 ) 최진식 ( 한양대학교교수 ) 최천원 ( 단국대학교교수 ) 허서원 ( 홍익대학교교수 ) 허 준 ( 고려대학교교수 ) 간 사 김중헌 ( 중앙대학교교수 ) 신오순 ( 숭실대학교교수 ) 감 사 이재진 ( 숭실대학교교수 ) 이호경 ( 홍익대학교교수 ) 연구회위원장 황승훈 ( 동국대학교교수 ) - 통신연구회 유태환 ( 한국전자통신연구원팀장 ) - 스위칭및라우팅연구회 조춘식 ( 한국항공대학교교수 ) - 마이크로파및전파전파연구회 이철기 ( 아주대학교교수 ) - ITS 연구회 김동규 ( 한양대학교교수 ) - 정보보안시스템연구회 김강욱 ( 경북대학교교수 ) - 군사전자연구회 허재두 ( 한국전자통신연구원본부장 ) - 무선 PAN/BAN연구회 김봉태 ( 한국전자통신연구원소장 ) - 미래네트워크연구회 반도체소사이어티 회 장 전영현 ( 삼성SDI 사장 ) 자문위원 임형규 (SK 부회장 ) 권오경 ( 한양대학교교수 ) 신윤승 ( 삼성전자고문 ) 신현철 ( 한양대학교교수 ) 우남성 ( 삼성전자사장 ) 선우명훈 ( 아주대학교교수 ) 수석부회장 조중휘 ( 인천대학교교수 ) 연구담당부회장조경순 ( 한국외국어대학교교수 ) 사업담당부회장김진상 ( 경희대학교교수 ) 학술담당부회장범진욱 ( 서강대학교교수 ) 총무이사 공준진 ( 삼성전자마스터 ) 김동규 ( 한양대학교교수 ) 박종선 ( 고려대학교교수 ) 이한호 ( 인하대학교교수 ) 편집이사 인치호 ( 세명대학교교수 ) 이희덕 ( 충남대학교교수 ) 한태희 ( 성균관대학교교수 ) 학술이사 강진구 ( 인하대학교교수 ) 김영환 ( 포항공과대학교수 ) 김재석 ( 연세대학교교수 ) 김철우 ( 고려대학교교수 ) 노정진 ( 한양대학교교수 ) 박성정 ( 건국대학교교수 ) 박홍준 ( 포항공과대학교수 ) 변영재 (UNIST 교수 ) 송민규 ( 동국대학교교수 ) 신현철 ( 광운대학교교수 ) 유창식 ( 한양대학교교수 ) 이혁재 ( 서울대학교교수 ) 전민용 ( 충남대학교교수 ) 정연모 ( 경희대학교교수 ) 정원영 ( 인제대학교교수 ) 정진균 ( 전북대학교교수 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 차호영 ( 홍익대학교교수 ) 최우영 ( 연세대학교교수 ) 사업이사 강성호 ( 연세대학교교수 ) 공배선 ( 성균관대학교교수 ) 권기원 ( 성균관대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김소영 ( 성균관대학교교수 ) 김시호 ( 연세대학교교수 ) 송용호 ( 한양대학교교수 ) 엄낙웅 ( 한국전자통신연구원소장 ) 윤광섭 ( 인하대학교교수 ) 조대형 ( 스위스로잔연방공대총장수석보좌관 ) 조상복 ( 울산대학교교수 ) 조태제 ( 삼성전기마스터 ) 최윤경 ( 삼성전자마스터 ) 최준림 ( 경북대학교교수 ) 산학이사 강태원 ( 넥셀사장 ) 김경수 ( 넥스트칩대표 ) 김달수 (TLI 대표 ) 김동현 (ICTK 사장 ) 김보은 ( 라온텍사장 ) 김준석 (ADT 사장 ) 변대석 ( 삼성전자마스터 ) 손보익 ( 실리콘웍스대표 ) 송태훈 ( 휴인스사장 ) 신용석 ( 케이던스코리아사장 ) 안흥식 (Xilinx Korea 지사장 ) 이도영 ( 옵토레인사장 ) 이서규 ( 픽셀플러스대표 ) 이윤종 ( 동부하이텍부사장 ) 이장규 ( 텔레칩스대표 ) 이종열 (FCI 부사장 ) 정해수 (Synopsys 사장 ) 최승종 (LG 전자전무 ) 허 염 ( 실리콘마이터스대표 ) 황규철 ( 삼성전자상무 ) 황정현 ( 아이닉스대표 ) 재무이사 김희석 ( 청주대학교교수 ) 임신일 ( 서경대학교교수 ) 회원이사 이광엽 ( 서경대학교교수 ) 최기영 ( 서울대학교교수 ) 감 사 김경기 ( 대구대학교교수 ) 최중호 ( 서울시립대학교교수 ) 간 사 강석형 (UNIST 교수 ) 김영민 ( 광운대학교교수 ) 김종선 ( 홍익대학교교수 ) 김형탁 ( 홍익대학교교수 ) 문 용 ( 숭실대학교교수 ) 백광현 ( 중앙대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이성수 ( 숭실대학교교수 ) 연구회위원장 차호영 ( 홍익대학교교수 ) - 반도체재료부품연구회 전민용 ( 충남대학교교수 ) - 광파및양자전자공학연구회 인치호 ( 세명대학교교수 ) - SoC설계연구회 유창식 ( 한양대학교교수 ) - RF집적회로연구회 정원영 ( 인제대학교교수 ) - PCB&Package연구회

14 The Magazine of the IEIE 컴퓨터소사이어티 회 장 김승천 ( 한성대학교교수 ) 명예회장 김형중 ( 고려대학교교수 ) 박인정 ( 단국대학교교수 ) 박춘명 ( 한국교통대학교교수 ) 신인철 ( 단국대학교교수 ) 안병구 ( 홍익대학교교수 ) 안현식 ( 동명대학교교수 ) 이규대 ( 공주대학교교수 ) 허 영 ( 한국전기연구원본부장 ) 홍유식 ( 상지대학교교수 ) 자문위원 남상엽 ( 국제대학교교수 ) 이강현 ( 조선대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 감 사 성해경 ( 한양여자대학교교수 ) 이문구 ( 김포대학교교수 ) 부 회 장 강문식 ( 강릉원주대학교교수 ) 김도현 ( 제주대학교교수 ) 윤은준 ( 경일대학교교수 ) 정용규 ( 을지대학교교수 ) 협동부회장 권호열 ( 강원대학교교수 ) 김영학 ( 한국산업기술평가관리원본부장 ) 조민호 ( 고려대학교교수 ) 최용수 ( 성결대학교교수 ) 총무이사 김진홍 ( 성균관대학교교수 ) 진 훈 ( 경기대학교교수 ) 황인정 ( 명지병원책임연구원 ) 재무이사 박수현 ( 국민대학교교수 ) 홍보이사 이덕기 ( 연암공과대학교교수 ) 편집이사 강병권 ( 순천향대학교교수 ) 기장근 ( 공주대학교교수 ) 변영재 (UNIST 교수 ) 심정연 ( 강남대학교교수 ) 이석환 ( 동명대학교교수 ) 정혜명 ( 김포대학교교수 ) 진성아 ( 성결대학교교수 ) 학술이사 강상욱 ( 상명대학교교수 ) 김선욱 ( 고려대학교교수 ) 김천식 ( 세종대학교교수 ) 노광현 ( 한성대학교교수 ) 우운택 (KAIST 교수 ) 이문구 ( 김포대학교교수 ) 이민호 ( 경북대학교교수 ) 이성로 ( 목포대학교교수 ) 이찬수 ( 영남대학교교수 ) 이후진 ( 한성대학교교수 ) 한규필 ( 금오공과대학교교수 ) 한태화 ( 연세대의료원연구팀장 ) 황성운 ( 홍익대학교교수 ) 사업이사 김홍균 ( 이화여자대학교교수 ) 박세환 ( 한국과학기술정보연구원전문연구위원 ) 박승창 ( 유오씨사장 ) 전병태 ( 한경대학교교수 ) 조병순 ( 시엔시인스트루먼트사장 ) 산학이사 김대휘 ( 한국정보통신대표이사 ) 노소영 ( 월송출판대표이사 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오승훈 (LG C&S 과장 ) 유성철 (LG 히다찌산학협력팀장 ) 조병영 ( 태진인포텍전무 ) 논문편집위원장 진 훈 ( 경기대학교교수 ) 연구회위원장 윤은준 ( 경일대학교교수 ) - 융합컴퓨팅연구회 이민호 ( 경북대학교교수 ) - 인공지능 / 신경망 / 퍼지연구회 강문식 ( 강릉원주대교수 ) - 멀티미디어연구회 진 훈 ( 경기대학교교수 ) - 유비쿼터스시스템연구회 김도현 ( 제주대학교교수 ) - M2M/IoT 연구회 우운택 (KAIST 교수 ) - 증강휴먼연구회 황성운 ( 홍익대학교교수 ) - 정보보안연구회 신호처리소사이어티 회 장 김정태 ( 이화여자대학교교수 ) 자문위원 김홍국 ( 광주과학기술원교수 ) 이영렬 ( 세종대학교교수 ) 전병우 ( 성균관대학교교수 ) 조남익 ( 서울대학교교수 ) 홍민철 ( 숭실대학교교수 ) 부 회 장 김문철 (KAIST 교수 ) 김창익 (KAIST 교수 ) 박종일 ( 한양대학교교수 ) 심동규 ( 광운대학교교수 ) 협동부회장 강동욱 ( 정보통신기술진흥센터 CP) 김진웅 ( 한국전자통신연구원그룹장 ) 백준기 ( 중앙대학교교수 ) 변혜란 ( 연세대학교교수 ) 신원호 (LG 전자상무 ) 양인환 (TI Korea 이사 ) 오은미 ( 삼성전자마스터 ) 이병욱 ( 이화여자대학교교수 ) 지인호 ( 홍익대학교교수 ) 최병호 ( 전자부품연구원센터장 ) 이 사 강현수 ( 충북대학교교수 ) 권기룡 ( 부경대학교교수 ) 김남수 ( 서울대학교교수 ) 김창수 ( 고려대학교교수 ) 김해광 ( 세종대학교교수 ) 박구만 ( 서울과학기술대학교교수 ) 박인규 ( 인하대학교교수 ) 서정일 ( 한국전자통신연구원선임연구원 ) 신지태 ( 성균관대학교교수 ) 엄일규 ( 부산대학교교수 ) 유양모 ( 서강대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상윤 ( 연세대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 장길진 ( 경북대학교교수 ) 장준혁 ( 한양대학교교수 ) 한종기 ( 세종대학교교수 ) 협동이사 권구락 ( 조선대학교교수 ) 김기백 ( 숭실대학교교수 ) 김상효 ( 성균관대학교교수 ) 김용환 ( 전자부품연구원선임연구원 ) 김재곤 ( 한국항공대학교교수 ) 박현진 ( 성균관대학교교수 ) 박호종 ( 광운대학교교수 ) 서영호 ( 광운대학교교수 ) 신재섭 ( 픽스트리대표이사 ) 신종원 ( 광주과학기술원교수 ) 양현종 (UNIST 교수 ) 이기승 ( 건국대학교교수 ) 이종설 ( 전자부품연구원책임연구원 ) 임재윤 ( 제주대학교교수 ) 장세진 ( 전자부품연구원센터장 ) 최강선 ( 한국기술교육대학교교수 ) 최승호 ( 서울과학기술대학교교수 ) 홍성훈 ( 전남대학교교수 ) 강상원 ( 한양대학교교수 ) 김응규 ( 한밭대학교교수 ) 최준원 ( 한양대학교교수 ) 구형일 ( 아주대학교교수 ) 박상윤 ( 명지대학교교수 ) 김기백 ( 숭실대학교교수 ) 강제원 ( 이화여자대학교교수 ) 이상철 ( 인하대학교교수 ) 전세영 (UNIST 교수 ) 감 사 김원하 ( 경희대학교교수 ) 최해철 ( 한밭대학교교수 ) 총무간사 허용석 ( 아주대학교교수 ) 연구회위원장 김무영 ( 세종대학교교수 ) - 음향및신호처리연구회 송병철 ( 인하대학교교수 ) - 영상신호처리연구회 이찬수 ( 영남대학교교수 ) - 영상이해연구회 예종철 (KAIST 교수 ) - 바이오영상신호처리연구회

15 시스템및제어소사이어티 회 장 정길도 ( 전북대학교교수 ) 부 회 장 김영철 ( 군산대학교교수 ) 이경중 ( 연세대학교교수 ) 유정봉 ( 공주대학교교수 ) 주영복 ( 한국기술교육대학교교수 ) 감 사 김영진 ( 생산기술연구원박사 ) 남기창 ( 동국대학교교수 ) 총무이사 권종원 ( 한국산업기술시험원선임연구원 ) 김용태 ( 한경대학교교수 ) 재무이사 김준식 (KIST 박사 ) 최영진 ( 한양대학교교수 ) 학술이사 김용권 ( 건양대학교교수 ) 서성규 ( 고려대학교교수 ) 편집이사 남기창 ( 동국대학교교수 ) 이수열 ( 경희대학교교수 ) 기획이사 김수찬 ( 한경대학교교수 ) 이덕진 ( 군산대학교교수 ) 최현택 ( 한국해양과학기술원책임연구원 ) 사업이사 고낙용 ( 조선대학교교수 ) 양연모 ( 금오공과대학교교수 ) 이석재 ( 대구보건대학교교수 ) 산학연이사 강대희 ( 유도 박사 ) 조영조 ( 한국전자통신연구원박사 ) 홍보이사 김호철 ( 을지대학교교수 ) 박재병 ( 전북대학교교수 ) 여희주 ( 대진대학교교수 ) 회원이사 문정호 ( 강릉원주대학교교수 ) 변영재 (UNIST 교수 ) 이학성 ( 세종대학교교수 ) 자문위원 김덕원 ( 연세대학교교수 ) 김희식 ( 서울시립대학교교수 ) 박종국 ( 경희대학교교수 ) 서일홍 ( 한양대학교교수 ) 오상록 (KIST 분원장 ) 오승록 ( 단국대학교교수 ) 연구회위원장 오창현 ( 고려대학교교수 ) 허경무 ( 단국대학교교수 ) 한수희 (POSTECH 교수 ) - 제어계측연구회이성준 ( 한양대학교교수 ) - 회로및시스템연구회남기창 ( 동국대학교교수 ) - 의용전자및생체공학연구회김규식 ( 서울시립대학교교수 ) - 전력전자연구회김영철 ( 군산대학교교수 ) - 지능로봇연구회이석재 ( 대구보건대학교교수 ) - 국방정보및제어연구회이덕진 ( 군산대학교교수 ) - 자동차전자연구회오창현 ( 고려대학교교수 ) - 의료영상시스템연구회권종원 ( 한국산업기술시험원선임연구원 ) - 스마트팩토리연구회 산업전자소사이어티 회 장 원영진 ( 부천대학교교수 ) 명예회장 강창수 ( 유한대학교교수 ) 남상엽 ( 국제대학교교수 ) 윤기방 ( 인천대학교교수 ) 이상회 ( 동서울대학교교수 ) 이원석 ( 동양미래대학교교수 ) 자문위원 김용민 ( 충청대학교교수 ) 김종부 ( 인덕대학교교수 ) 윤한오 ( 동국대학교교수 ) 이병선 ( 김포대학교교수 ) 이상준 ( 수원과학대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 최영일 ( 조선이공대학교총장 ) 부 회 장 김태원 ( 상지영서대학교교수 ) 동성수 ( 용인송담대학교교수 ) 이용구 ( 한림성심대학교교수 ) 한완옥 ( 여주대학교교수 ) 감 사 김영선 ( 대림대학교교수 ) 김영로 ( 명지전문대학교수 ) 협동부회장 강현웅 ( 핸즈온테크놀로지대표 ) 김응연 ( 인터그래텍대표 ) 김진선 ( 청파이엠티대표 ) 김창일 ( 아이지대표 ) 김태형 ( 하이버스대표 ) 박용후 ( 이디엔지니어링대표 ) 박현찬 ( 나인플러스EDA 대표 ) 성재용 ( 오픈링크시스템대표 ) 송광헌 ( 복두전자대표 ) 이영준 ( 비츠로시스본부장 ) 장 철 (LG 히타찌전무 ) 진수춘 ( 한백전자대표 ) 한성준 ( 아이티센부사장 ) 상임이사 고정환 ( 인하공업전문대학교수 ) 구자일 ( 인하공업전문대학교수 ) 김 현 ( 부천대학교교수 ) 서춘원 ( 김포대학교교수 ) 안성수 ( 명지전문대학교수 ) 안태원 ( 동양미래대학교교수 ) 이동영 ( 명지전문대학교수 ) 재무이사 강민구 ( 경기과학기술대학교교수 ) 강희훈 ( 여주대학교교수 ) 곽칠성 ( 재능대학교교수 ) 김경복 ( 경복대학교교수 ) 김태용 ( 구미대학교교수 ) 문현욱 ( 동원대학교교수 ) 윤중현 ( 조선이공대학교교수 ) 이종하 ( 전주비전대학교교수 ) 이태동 ( 국제대학교교수 ) 주진화 ( 오산대학교교수 ) 학술이사 김덕수 ( 동양미래대학교교수 ) 김용중 ( 폴리텍원주교수 ) 김종오 ( 동양미래대학교교수 ) 성해경 ( 한양여자대학교교수 ) 송도선 ( 우송정보대학교교수 ) 엄우용 ( 인하공업전문대학교수 ) 이영종 ( 여주대학교교수 ) 이영진 ( 을지대학교교수 ) 이종용 ( 광운대학교교수 ) 장경배 ( 고려사이버대학교교수 ) 정경권 ( 동신대학교교수 ) 사업이사 김상범 ( 폴리텍인천교수 ) 김영우 ( 두원공과대학교교수 ) 김윤석 ( 상지영서대학교교수 ) 박진홍 ( 혜전대학교교수 ) 방극준 ( 인덕대학교교수 ) 변상준 ( 대덕대학교교수 ) 심완보 ( 충청대학교교수 ) 오태명 ( 명지전문대학교수 ) 이 철 ( 인하공업전문대학교수 ) 장성석 ( 영진전문대학교수 ) 최의선 ( 폴리텍아산교수 ) 산학연이사 김은원 ( 대림대학교교수 ) 서병석 ( 상지영서대학교교수 ) 성홍석 ( 부천대학교교수 ) 우찬일 ( 서일대학교교수 ) 원우연 ( 폴리텍춘천교수 ) 이규희 ( 상지영서대학교교수 ) 이시현 ( 동서울대학교수 ) 이정석 ( 인하공업전문대학교수 ) 이종성 ( 부천대학교교수 ) 장기동 ( 동양미래대학교수 ) 정환익 ( 경복대학교교수 ) 최홍주 ( 상지영서대학교교수 ) 협동이사 강현석 ( 로보웰코리아대표 ) 김민준 ( 베리타스부장 ) 김세종 (SJ정보통신부사장 ) 김연길 ( 대보정보통신본부장 ) 김태웅 ( 윕스부장 ) 남승우 ( 상학당대표 ) 박정민 ( 오므론과장 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오재곤 ( 세인부사장 ) 유성철 (LG 히다찌본부장 ) 유제욱 ( 한빛미디어부장 ) 이진우 ( 글로벌이링크대표 ) 전한수 ( 세림TNS 이사 ) 조규남 ( 로봇신문사대표 ) 조병영 ( 태진인포텍전무 ) 조한일 ( 투데이게이트이사 )

16 The Magazine of the IEIE 제 21 대평의원명단 강대성 ( 동아대학교교수 ) 강문식 ( 강릉원주대학교교수 ) 강봉순 ( 동아대학교교수 ) 강성원 ( 한국전자통신연구원부장 ) 강창수 ( 유한대학교교수 ) 고성제 ( 고려대학교교수 ) 고요환 (( 주 ) 매그나칩반도체전무 ) 고윤호 ( 충남대학교교수 ) 고정환 ( 인하공업전문대학교수 ) 고현석 ( 한국전자통신연구원선임연구원 ) 공배선 ( 성균관대학교교수 ) 공준진 ( 삼성전자마스터 ) 구용서 ( 단국대학교교수 ) 구자일 ( 인하공업전문대학교수 ) 권기룡 ( 부경대학교교수 ) 권기원 ( 성균관대학교교수 ) 권오경 ( 한양대학교교수 ) 권종기 ( 한국전자통신연구원책임연구원 ) 권종원 ( 한국산업기술시험원선임연구원 ) 권혁인 ( 중앙대학교교수 ) 권호열 ( 강원대학교교수 ) 김강욱 ( 경북대학교교수 ) 김경기 ( 대구대학교교수 ) 김경연 ( 제주대학교교수 ) 김규식 ( 서울시립대학교교수 ) 김기남 ( 삼성전자사장 ) 김기호 ( 삼성전자부사장 ) 김남용 ( 강원대학교교수 ) 김달수 ( 티엘아이대표이사 ) 김대환 ( 국민대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김도현 ( 제주대학교교수 ) 김동규 ( 한양대학교교수 ) 김동순 ( 전자부품연구원박사 ) 김동식 ( 인하공업전문대학교수 ) 김동식 ( 한국외국어대학교교수 ) 김무영 ( 세종대학교교수 ) 김봉태 ( 한국전자통신연구원소장 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원실장 ) 김상효 ( 성균관대학교교수 ) 김선용 ( 건국대학교교수 ) 김선욱 ( 고려대학교교수 ) 김선일 ( 한양대학교교수 ) 김성대 (KAIST 교수 ) 김성진 ( 경남대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김소영 ( 성균관대학교교수 ) 김수원 ( 고려대학교교수 ) 김수중 ( 명예회장 ) 김수찬 ( 한경대학교교수 ) 김수환 ( 서울대학교교수 ) 김승천 ( 한성대학교교수 ) 김시호 ( 연세대학교교수 ) 김영권 ( 명예회장 ) 김영로 ( 명지전문대학교수 ) 김영선 ( 대림대학교교수 ) 김영철 ( 군산대학교교수 ) 김영환 ( 포항공과대학교교수 ) 김용민 ( 충청대학교교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원종 ( 한국전자통신연구원팀장 ) 김원하 ( 경희대학교교수 ) 김윤희 ( 경희대학교교수 ) 김재석 ( 연세대학교교수 ) 김재현 ( 아주대학교교수 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 김정태 ( 이화여자대학교교수 ) 김정호 ( 이화여자대학교교수 ) 김종대 ( 한국전자통신연구원연구위원 ) 김종옥 ( 고려대학교교수 ) 김준모 ( 한국과학기술원교수 ) 김지훈 ( 서울과학기술대학교교수 ) 김진영 ( 광운대학교교수 ) 김창수 ( 고려대학교교수 ) 김창용 ( 삼성전자 DMC 연구소장 ) 김창익 ( 한국과학기술원교수 ) 김철동 (( 주 ) 세원텔레텍대표이사 ) 김철우 ( 고려대학교교수 ) 김태욱 ( 연세대학교교수 ) 김태원 ( 상지영서대학교교수 ) 김 현 ( 부천대학교교수 ) 김현수 ( 삼성전자상무 ) 김형탁 ( 홍익대학교교수 ) 김홍국 ( 광주과학기술원교수 ) 김 훈 ( 인천대학교교수 ) 김희석 ( 청주대학교교수 ) 김희식 ( 서울시립대학교교수 ) 나정웅 ( 명예회장 ) 남기창 ( 동국대학교교수 ) 남상엽 ( 국제대학교교수 ) 남상욱 ( 서울대학교교수 ) 노원우 ( 연세대학교교수 ) 노정진 ( 한양대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 동성수 ( 용인송담대학교교수 ) 류수정 ( 삼성전자상무 ) 문영식 ( 한양대학교교수 ) 문 용 ( 숭실대학교교수 ) 민경식 ( 국민대학교교수 ) 박광로 ( 한국전자통신연구원책임연구원 ) 박광석 ( 서울대학교교수 ) 박규태 ( 명예회장 ) 박동일 ( 현대자동차 전무 ) 박래홍 ( 서강대학교교수 ) 박병국 ( 서울대학교교수 ) 박성욱 (SK하이닉스부회장 ) 박성한 ( 명예회장 ) 박수현 ( 국민대학교교수 ) 박인규 ( 인하대학교교수 ) 박정일 ( 영남대학교교수 ) 박종일 ( 한양대학교교수 ) 박주현 ( 영남대학교교수 ) 박진옥 ( 명예회장 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박춘명 ( 한국교통대학교교수 ) 박항구 ( 명예회장 ) 박현욱 ( 한국과학기술원교수 ) 박현창 ( 동국대학교교수 ) 박형무 ( 동국대학교교수 ) 박홍준 ( 포항공과대학교교수 ) 방성일 ( 단국대학교교수 ) 백광현 ( 중앙대학교교수 ) 백만기 ( 김 & 장법률사무소변리사 ) 백준기 ( 중앙대학교교수 ) 백흥기 ( 전북대학교교수 ) 범진욱 ( 서강대학교교수 ) 변영재 ( 울산과학기술대학교교수 ) 서승우 ( 서울대학교교수 ) 서정욱 ( 명예회장 ) 서철헌 ( 숭실대학교교수 ) 서춘원 ( 김포대학교교수 ) 선우명훈 ( 아주대학교교수 ) 성굉모 ( 명예회장 ) 성하경 ( 전자부품연구원선임연구본부장 ) 성해경 ( 한양여자대학교교수 ) 손광준 ( 한국산업기술평가관리원 PD) 손광훈 ( 연세대학교교수 ) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 송상헌 ( 중앙대학교교수 ) 송용호 ( 한양대학교교수 ) 송제호 ( 전북대학교교수 ) 송창현 ( 네이버 CTO) 신오순 ( 숭실대학교교수 ) 신요안 ( 숭실대학교교수 ) 신지태 ( 성균관대학교교수 ) 신현철 ( 한양대학교교수 ) 신현철 ( 광운대학교교수 ) 심대용 (SK하이닉스상무 ) 심동규 ( 광운대학교교수 ) 심정연 ( 강남대학교교수 ) 안길초 ( 서강대학교교수 ) 안병구 ( 홍익대학교교수 ) 안성수 ( 명지전문대학교수 ) 안승권 (LG 전자사장 ) 안태원 ( 동양미래대학교교수 ) 안현식 ( 동명대학교교수 ) 양일석 ( 한국전자통신연구원책임연구원 ) 엄낙웅 ( 한국전자통신연구원소장 ) 엄일규 ( 부산대학교교수 ) 연규봉 ( 자동차부품연구원센터장 ) 예종철 ( 한국과학기술원교수 ) 오상록 ( 한국과학기술연구원분원장 ) 오성목 (KT 사장 ) 오승록 ( 단국대학교교수 ) 오창현 ( 고려대학교교수 ) 우남성 (( 전 ) 삼성전자사장 ) 우운택 ( 한국과학기술원교수 ) 원영진 ( 부천대학교교수 ) 유경식 ( 한국과학기술원교수 ) 유명식 ( 숭실대학교교수 ) 유윤섭 ( 한경대학교교수 ) 유정봉 ( 공주대학교교수 ) 유창동 ( 한국과학기술원교수 ) 유창식 ( 한양대학교교수 ) 유태환 ( 한국전자통신연구원책임연구원 ) 유현규 ( 한국전자통신연구원책임연구원 )

17 유회준 ( 한국과학기술원교수 ) 윤기방 ( 인천대학교교수 ) 윤석현 ( 단국대학교교수 ) 윤성로 ( 서울대학교교수 ) 윤영권 ( 삼성전자마스터 ) 윤은준 ( 경일대학교교수 ) 윤일구 ( 연세대학교교수 ) 윤종용 ( 삼성전자비상임고문 ) 윤지훈 ( 서울과학기술대학교교수 ) 이가원 ( 충남대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이경중 ( 연세대학교교수 ) 이광엽 ( 서경대학교교수 ) 이규대 ( 공주대학교교수 ) 이문구 ( 김포대학교교수 ) 이문기 ( 명예회장 ) 이민호 ( 경북대학교교수 ) 이배호 ( 전남대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이병선 ( 김포대학교교수 ) 이병욱 ( 이화여자대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상설 ( 명예회장 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이상훈 ( 한국전자통신연구원원장 ) 이성수 ( 숭실대학교교수 ) 이성준 ( 한양대학교교수 ) 이승호 ( 한밭대학교교수 ) 이승훈 ( 서강대학교교수 ) 이영렬 ( 세종대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이원석 ( 동양미래대학교교수 ) 이윤식 ( 울산과학기술대학교교수 ) 이윤종 (( 주 ) 동부하이텍부사장 ) 이인규 ( 고려대학교교수 ) 이장명 ( 부산대학교교수 ) 이재진 ( 숭실대학교교수 ) 이재홍 ( 서울대학교교수 ) 이종호A ( 서울대학교교수 ) 이종호B ( 서울대학교교수 ) 이진구 ( 명예회장 ) 이찬수 ( 영남대학교교수 ) 이찬호 ( 숭실대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 이채은 ( 인하대학교교수 ) 이천희 (( 전 ) 청주대학교교수 ) 이충용 ( 연세대학교교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 이필중 ( 포항공과대학교교수 ) 이한호 ( 인하대학교교수 ) 이혁재 ( 서울대학교교수 ) 이현중 ( 한국전자통신연구원박사 ) 이호경 ( 홍익대학교교수 ) 이흥노 ( 광주과학기술원교수 ) 이희국 ( LG 상근고문 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 임신일 ( 서경대학교교수 ) 임제탁 ( 명예회장 ) 임해진 ( 강원대학교교수 ) 임혜숙 ( 이화여자대학교교수 ) 장은영 ( 공주대학교교수 ) 장태규 ( 중앙대학교교수 ) 전국진 ( 서울대학교교수 ) 전민용 ( 충남대학교교수 ) 전병우 ( 성균관대학교교수 ) 전순용 ( 동양대학교교수 ) 전영현 ( 삼성전자사장 ) 전홍태 ( 중앙대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 정길도 ( 전북대학교교수 ) 정성욱 ( 연세대학교교수 ) 정승원 ( 동국대학교교수 ) 정영모 ( 한성대학교교수 ) 정원영 ( 인제대학교교수 ) 정윤호 ( 한국항공대학교교수 ) 정은승 ( 삼성전자부사장 ) 정의영 ( 연세대학교교수 ) 정정화 ( 명예회장 ) 정종문 ( 연세대학교교수 ) 정 준 ( 쏠리드대표이사 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 제민규 ( 한국과학기술원교수 ) 조경순 ( 한국외국어대학교교수 ) 조남익 ( 서울대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 조명진 ( 네이버박사 ) 조민호 ( 고려대학교교수 ) 조상복 ( 울산대학교교수 ) 조성현 ( 한양대학교교수 ) 조성환 ( 한국과학기술원교수 ) 조영조 ( 한국전자통신연구원책임연구원 ) 조중휘 ( 인천대학교교수 ) 조춘식 ( 한국항공대학교교수 ) 주성순 ( 한국전자통신연구원박사 ) 주영복 ( 한국기술교육대학교교수 ) 진 훈 ( 경기대학교교수 ) 차호영 ( 홍익대학교교수 ) 천경준 ( 씨젠회장 ) 최강선 ( 한국기술교육대학교교수 ) 최기영 ( 서울대학교교수 ) 최병덕 ( 한양대학교교수 ) 최승원 ( 한양대학교교수 ) 최승종 (LG 전자전무 ) 최영규 ( 한국교통대학교교수 ) 최용수 ( 성결대학교교수 ) 최우영 ( 서강대학교교수 ) 최윤식 ( 연세대학교교수 ) 최준림 ( 경북대학교교수 ) 최중호 ( 서울시립대학교교수 ) 최진성 (SK텔레콤전무 ) 최천원 ( 단국대학교교수 ) 한대근 (( 전 ) 실리콘웍스대표이사 ) 한동석 ( 경북대학교교수 ) 한수희 ( 포항공과대학교교수 ) 한영선 ( 경일대학교교수 ) 한완옥 ( 여주대학교교수 ) 한재호 ( 고려대학교교수 ) 한종기 ( 세종대학교교수 ) 한태희 ( 성균관대학교교수 ) 함철희 ( 삼성전자마스터 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 허재두 ( 한국전자통신연구원본부장 ) 허 준 ( 고려대학교교수 ) 호요성 ( 광주과학기술원교수 ) 홍국태 (LG 전자연구위원 ) 홍대식 ( 연세대학교교수 ) 홍민철 ( 숭실대학교교수 ) 홍승홍 ( 명예회장 ) 홍용택 ( 서울대학교교수 ) 홍유식 ( 가톨릭상지대학교교수 ) 황성운 ( 홍익대학교교수 ) 황승구 ( 한국전자통신연구원소장 ) 황승훈 ( 동국대학교교수 ) 황인철 ( 강원대학교교수 ) 사무국직원명단 송기원국장 - 대외업무, 업무총괄, 기획, 자문, 산학연, 선거이안순부장 - 국내학술, 총무, 포상, 임원관련, 컴퓨터소사이어티배지영차장 - 국제학술, 국문논문, JSTS 영문지, 시스템및제어소사이어티배기동차장 - 사업, 표준화, 용역, 반도체소사이어티변은정차장 - 재무 ( 본회 / 소사이어티 / 연구회 ), 학회지, 산업전자소사이어티김천일과장 - 회원, 정보화, 홍보 / 교육, 통신소사이어티장다희서기 - 국제학술, 국제업무, SPC, 신호처리소사이어티

18 제6차상임이사회개최제 6차상임이사회가 10월 13일 ( 금 ) 오전 7시 30분쉐라톤서울팔래스강남호텔 (1층) 에이치가든에서개최되었다. 이번회의결과는다음과같다. 1. 성원보고 - 33명의상임이사중 19명이참석하여성원되었음 2. 위원회보고 - 각위원회별로위원장들이서면및발표보고사항을진행하고위원회별주요사항을논의함 3. 심의사항 - 신규회원가입을승인함 년도사업계획및예산 ( 안 ) 을승인함 4. 기타 RF 설계및부품기반기술등의군수용초고주파핵심원천기술의확보및국산화를도모하기위하여국내의여러연구단체의공동주관하에초고주파관련최고전문가를초빙하여진행되었다. 또한, 본워크샵은대한전자공학회, 한국전자파학회및한국전자통신연구원이공동주관기관으로진행되었으며, 180여명이참석하여성황리에마무리되었다. 제11회군수용초고주파부품워크샵 제 6 차상임이사회 제 11 회군수용초고주파부품워크샵참가자단체사진 제11회군수용초고주파부품워크샵 RF집적회로연구회에서주최한 ( 워크샵운영위원장 : 임종원실장 (ETRI)) 제11회군수용초고주파부품워크샵 이 10월 25일 ( 수 ) 더케이호텔가야금홀 2층에서개최되었다. 본워크샵은군수용초고주파시스템, 초고주파소자및부품기술, 2017 정보및제어학술대회시스템및제어소사이어티 ( 운영위원장 : 김영철교수 ( 군산대 )) 에서는대한전기학회와공동주최로 10월 26일 ( 목 ) ~ 28일 ( 토 ) 목포신안비치호텔에서 정보및제어학술대회 를개최하였다. 이번학술대회에는약 250여명이참석하여논문을발표하였다. 838 _ The Magazine of the IEIE 12

19 News 신규회원가입현황 (2017 년 10 월 13 일 년 11 월 13 일 ) 정회원 정보및제어학술대회보안 SoC(System on Chip) 설계기술워크샵반도체소사이어티및정보보안시스템연구회주최로 ( 워크샵운영위원장 : 김동규교수 ( 한양대 )) 보안 SoC(System on Chip) 설계기술워크샵 을 11월 1일 ( 수 ) 과학기술회관소회의실에서개최하였다. 본워크샵은보안 SoC를위한 Secure Core 설계기술, 보안 SoC의물리적공격및방어기술의 2개세션으로구성되어한양대, 성균관대, 서울과학기술대, 충남대, 인천대등보안설계칩연구성과를발표하고논의하는자리가되었으며, 차세대보안기술에대하여향후발전방향에대하여논의하였다. 강대수 ( 국립공주대학교 ), 김민수 ( 한화시스템 ), 김상동 ( 대구경북과학기술원 ), 김재일 ( 한화시스템 ), 김진 ( 한양대학교 ), 남경래 ( 엘아이지넥스원 ), 남기표 ( 한국과학기술연구원 ), 도규석 ( 한화시스템 ), 박민지 ( 국방과학연구소 ), 박정동 ( 동국대학교 ), 박진우 ( 한화시스템 ), 박철규 ( 서울시립대학교 ), 서재화 ( 경북대학교 ), 성진택 ( 호남대학교 ), 신헌용 ( 국방기술품질원 ), 아파르나 ( 중앙대학교첨단영상대학원 ), 안익수 ( 대원대학교 ), 양은호 ( 한화시스템 ), 음호민 ( 한국전자통신연구원 ), 이남기 ( 한화시스템 ), 이민재 (( 주 ) 의료법인명지의료재단 ), 이재민 ( 금오공과대학교 ), 이종만 ( 한화시스템 ), 임정현 ( 국민대학교 ), 장미 ( 한화시스템 ), 조태상 ( 한화시스템 ), 채관엽 ( 삼성전자 ), 최정열 ( 서울시립대학교 ), 한경복 ( 한화시스템 ) 이상 29명평생회원이형민 ( 고려대학교 ), 홍성완 ( 숙명여자대학교 ) 이상 2 명 학생회원 보안 SoC(System on Chip) 설계기술워크샵참석자단체사진 2017 IoT Technology & Business 포럼반도체소사이어티및 SoC설계연구회주최로 ( 워크샵운영위원장 : 김용석교수 ( 성균관대학교 )) 2017 IoT Technology & Business 포럼 을 11월 14일 ( 화 ) 판교스타트업캠퍼스 1층컨퍼런스홀에서개최하였다. 이번포럼에서는 IoT Technology 소개, 센서, RF/ 아날로그칩, 인공지능 (AI) 과음성인식응용, Cloud 기술및 IoT Business 개발사례중심발표가진행되었다. 개발사례는 CPU 코어, 모듈전문기업의발표가있고, 한국의대표기업 ( 삼성, 현대 ) 에서 IoT 사업화사례등이밝표되었다. 올해포럼은 IoT 핵심기술의이해와앞으로나아가야할방향을함께모색하는자리가되었으며, 또한최신 IoT 연구기술동향을공유하며, 또한같은분야에종사하시는기업, 대학, 정부연구소전문가들간의상호간친목을도모할수있는기회가되었다. 곽도진 ( 가천대학교 ), 김근태 ( 광운대학교 ), 김대정 ( 한국과학기술원 ), 김민수 ( 한양대학교 ), 김민식 ( 인하대학교 ), 김병학 ( 인하대학교 ), 김성욱 ( 국민대학교 ), 김성태 ( 한국과학기술원 ), 김수현 ( 울산대학교 ), 김승현 ( 한양대학교 ), 김영빈 ( 서울과학기술대학교 ), 김재훈 ( 동국대학교 ), 김재훈 ( 한동대학교 ), 박세인 ( 중앙대학교 ), 박재우 ( 서울대학교 ), 박정균 ( 연세대학교 ), 박지원 ( 고려대학교 ), 박진혁 ( 부경대학교 ), 박현수 ( 고려대학교 ), 변준영 ( 한국과학기술원 ), 서봉성 ( 연세대학교 ), 서슬기 ( 서울대학교 ), 설재민 ( 국민대학교 ), 송수상 ( 중앙대학교 ), 신승호 ( 가천대학교 ), 심규진 ( 한국과학기술원 ), 오상엽 ( 서울대학교 ), 윤승현 ( 가천대학교 ), 이상혁 ( 한양대학교 ), 이수진 ( 울산대학교 ), 이충환 ( 고려대학교 ), 이태민 ( 한양대학교 ), 이한결 ( 한국과학기술원 ), 장준범 ( 숭실대학교 ), 조수정 ( 서울대학교 ), 조우형 ( 서울대학교 ), 조재찬 ( 한국항공대학교 ), 진우정 ( 중앙대학교 ), 최상하 ( 세종대학교 ), 최영준 ( 아주대학교 ), 최재훈 ( 한국과학기술원 ), 최현 ( 인천대학교 ) 이상 42명 13 전자공학회지 _ 839

20 학회일지 The Institute of Electronics and Information Engineers 2017년 10월 19일 ~ 2017년 11월 17일 1. 회의개최 회의명칭일시장소주요안건 산업전자소사이어티이사회 (17:00) 학회회의실 - 산업전자선거개표발표및송년회준비논의외 제 2 차정보화위원회의 (8:00) JW 메리어트호텔 - 학회온라인시스템재구축방향논의 ICEIC 차운영위원회의 (7:30) 신라호텔 - 학술대회운영방안및 TPC 관련진행사항논의외 추계학술대회 TPC 회의 (7:30) JW 메리어트호텔 - 추계학술대회프로그램구성논의외 2. 행사개최 행사명칭일시장소주관 제 11 회군수용초고주파부품워크샵 더케이호텔 ( 양재소재 ) RF 집적회로연구회 정보및제어학술대회 10.26~28 목포신안비치호텔시스템및제어소사이어티 보안 SoC(System on Chip) 설계기술워크샵 11.1 한국과학기술회관반도체소사이어티 2017 IoT Technology & Business 포럼 판교스타트업캠퍼스반도체소사이어티 840 _ The Magazine of the IEIE 14

21 특 집 편 집 기 인공지능 (Artificial Intelligence), 기계학습 (Machine Learning) 그리고응용시스템 4 차산업혁명은 초연결성 (Hyper-connected), 초지능화 (Hyper- Intelligent) 의특성을가지고있으며, 사물인터넷 (IoT), 클라우드, 등정보통신기술 (ICT) 을통해인간과인간, 사물과사물, 인간과사물이상호연결되고빅데이터와인공지심정연편집위원능등으로미래는보다지능화된 ( 강남대학교 ) 사회로변화될것으로예측된다. 이중에 4차산업혁명을이끄는최대의이슈가되는분야로당연인공지능과기계학습을들수있다. 인공지능 (Artficial Intelligence) 의사전적의미는인간의인식, 판단, 추론, 문제해결, 그결과로서의언어나행동지령, 학습기능과같은인간의두뇌작용을이해하는것을연구대상으로하는학문분야로궁극적으로는두뇌의기능을기계로실현하는것을목적으로한다. 기계학습 (Machine Learning) 은인공지능의한분야로서컴퓨터가스스로학습할수있도록하는알고리즘과기술을개발하는분야를말한다. 인간의뇌를구성하고있는기본세포인뉴런 (Neuron) 을모델링한 1958년퍼셉트론으로부터시작하여수많은학습모델이개발되었으며대표적으로에러역전파 (Error Back-propagation) 알고리즘, SOM(Self Organizing Map), SVM(Support Vector Machine), GMM(Gaussian Mixture Model) 등으로발전하였고현재는딥러닝 (Deep Learning) 방식이높은학습성능을나타내고있다. 본특집호에서는기계학습, 딥러닝, 뉴로닉, 인공지능을 위한새로운메모리아키텍쳐, BCI를위한 Soc 설계기술의내용을다루는최고수준의논문 5편으로구성하였다. 멀티미디어분석을위한기계학습 에서는기계학습의중심축을이루는멀티미디어분석을위한방법및응용사례등을소개하고전망과방향을고찰하였고 딥러닝을이용한객체분류및검출기술 에서는객체분류를위해제안된딥러닝특히 CNN 구조들과그의미를분석하고이를활용한객체검출기법에대하여소개하고있다. 뉴로닉 (NeuronIC) 에서는신경망집적회로인뉴로닉을소개하고있다. 특히뉴로닉 2세대는소자수준에서부터획기적인변화를통해인간의뇌에버금가는에너지효율과집적도를추구하며폰노이만구조를탈피한병렬구조를지향한다는것을, 최근스파이크기반신경망이본격적으로도입되면서소프트웨어에서거둔성공을높은에너지효율을갖는하드웨어에이식할수있는길이열렸음을시사하고있다. 새로운서비스와메모리아키텍처의변화 에서는인공지능,Big Data,IoT, 5G 등의새로운서비스와신기술을지원하기위한 Computing platform, 새로운메모리시스템요구사항을만족시키기위한 Memory solution을제시하고 고성능 BCI(Brain Computer Interface) 를위한 SoC 설계기술 에서는생각만으로사물을조정하는 BCI 기술소개와함께 BCI시스템의안정성과간소화및경량화를위한 SoC시스템설계에대한내용을다루고있다. 이상으로본특집호의내용은미래를준비하는공학도나기업체나연구소의시스템개발자에게많은도움이되리라생각한다. 바쁜일정중에도이번특집호에최고수준의주옥같은논문을보내주신저자분들께깊은감사를드립니다. 원고를받고마치수천금의보석을받은듯한기쁜마음이들었습니다. 저자분들께다시한번감사드립니다. 15 전자공학회지 _ 841

22 특집 멀티미디어분석을위한기계학습 멀티미디어분석을위한 기계학습 Ⅰ. 서론 멀티미디어는오늘날우리의삶과분리하여생각할수없을정도로다양한분야에서밀접하게연관되어있다. 그도그럴것이멀티미디어의사전적정의는 컴퓨터를매개로하여영상, 음성, 문자따위와같은다양한정보매체를복합적으로만든장치나소프트웨어의형태 인데 1), 작은범주인영상, 음성, 문자의소프트웨어형태만하더라도정치, 경제, 사회, 교육, 문화등모든영역에서쓰이기때문이다. 최근정보화시대의도래와전자기술의급속한발전으로인해멀티미디어는더욱광범위한분야에깊숙이파고들어상상을초월하는양의콘텐츠를생성하고있다. 이른바멀티미디어홍수에빠져있는것이다. 실제로디지털데이터규모의추이를보면매년기하급수적으로증가하고있으며, 국제적 ICT 시장조사기관인 IDC (International Data Corporation) 는 2020년전세계디지털데이터의규모가 44 제타바이트 2) 에달할것으로전망하였다. < 그림 1> 은 44 제타바이트의크기를표현한것인데, 128 기가바이트의데이터를담을수있고두께가 0.29 인치 ( 약 7.4 mm) 인태블릿을기준으로모든데이터를담기위해필요한태블릿을쌓을경우지구와달사이거리의약 6.6배에달하는것을나타낸것이다 3). 디지털데이터의빠른증가속도는지난수십년간컴퓨터성능이기하급수적으로향상되었음에도불구하고이미사람이분석하고다룰수있는한계를넘어서고있다. 즉, 컴퓨터의처리능력은과거에비해무한에가까운향상을이루었으나, 광범위한분야에서다양한형태의데이터에대한결정론적관계 ( 데이터상호관계또는그관계를결정짓는 유창동 KAIST 전기및전자공학부 1) 출처 : 표준국어대사전 2) 1 ZB( 제타바이트 ) = 1021 바이트 = 1 조 GB ( 기가바이트 ) 3) 출처 : The EMC Digital Universe study 842 _ The Magazine of the IEIE 16

23 멀티미디어분석을위한기계학습 < 그림 1> 디지털데이터규모전망매개변수등 ) 를인위적으로제시하는것은이미불가능한상황에이른것이다. 이와같은복합적인시대적배경은자연스레기계학습에대한관심증대로이어졌다. 기계학습 (machine learning) 은인공지능의한분야로, 컴퓨터가스스로학습할수있도록하는알고리즘과기술을개발하는분야를말한다. 1959년미국의컴퓨터과학자아서사무엘 (Arthur Samuel) 은기계학습에대해 컴퓨터에게배울수있는능력, 즉코드로정의하지않은동작을실행하는능력에대한연구분야 로정의하였고, 이는 1998년미국의컴퓨터과학자톰미첼 (Tom M. Mitchel) 에의해 어떠한작업 (Task) 에대해꾸준한경험 (Experience) 을통하여그작업에대한성능 (Performance) 을높이는것 으로구체화되었다. 톰미첼의정의에서경험은데이터를의미하는것으로좋은품질의데이터를많이확보할수록보다높은성능을이끌어낼수있기때문에기계학습에서가장중요한요소가된다. 기계학습은컴퓨터의발명과역사를함께한다. 컴퓨터가발명된 1940년대에는아직프로그램의형태로존재하고기계학습의형태를갖추지는못하였다. 1952년체커 (Checkers) 게임방법을학습하는컴퓨터프로그램이개발되면서진정한의기계학습역사가전개되었다 년에는최초의인공신경망인퍼셉트론 (Perceptron) 이개발되었고, 1967년에최근접이웃알고리즘 (Nearest Neighbor algorithm) 이소개되면서컴퓨터패턴인식이시작되었다. 1970년대에는첫번째정체기에접어들며별다른진전을보이지않다가 1985년에러역전파 (Back-propagation) 알고리즘을통해연구가촉진되는듯하였으나이론적근거부족과과대광고등의이유로두번째정체기를맞게되었다. 1990년에기계학습에대한통계적접근방식인서포트벡터머신 (Support Vector Machine, SVM) 의신개념이소개되었고, 1997 년에는 IBM에서개발한딥블루 (Deep Blue) 가체스그랜드마스터게리카스파로프 (Garry Kasparov) 와의체스경기에서승리하는쾌거를이루었다. 2000년대에와서빅데이터, 컴퓨터성능향상, 진보된신경망모델등은기계학습에대한관심을다시불러일으켰고, 2012년구글 (Google) 이심층신경망 (Deep Neural Network) 을사용하여이미지인식대회에서우승한것을계기로심층신경망기반의기계학습이오늘날까지비약적인발전을이루었다. 결국 2016년에구글의알파고 (AlphaGo) 가바둑천재이세돌과시합하여승리하고, 2017년올해에는사람의도움없이기본적인바둑규칙만제공받은상태에서스스로학습한알파고제로가알파고를상대로 100전 100승을거두는단계까지이르렀다. 기계학습은학습형태에따라크게지도학습 (Supervised Learning), 비지도학습 (Unsupervised Learning), 강화학습 (Reinforcement Learning) 3가지로나눌수있다. 먼저지도학습은각각의입력 (x) 에대해레이블 (y) 이주어진데이터를사용하여학습하는것으로입력에의한예측값이레이블에가까워지는방향으로진행된다. 주로회귀 (Regression) 나분류 (Classification) 등의작업에서사용한다. 다음비지도학습은레이블이주어지지않은데이터를사용하여학습하는것으로레이블을스스로결정하는방향으로진행된다. 주로군집화 (Clustering) 나분포추정 (Distribution Estimation) 등의작업에서사용한다. 일부의데이터에만레이블이주어지는경우다수의레이블이없는데이터를약간의레이블이있는데이터로보충해서학습하는것을반지도학습 (Semi- supervised Learning) 이라한다. 끝으로강화학습은현재의상태에서최적의행동을취하는것을학습하는것으로행동을취할때마다보상이주어지고이러한보상을최대화하는방향으로진행된다. 주로로봇제어, 게임인공지능작업에서사용한다. 이처럼다양한형태로발전한기계학습은오늘날우리삶의영역전반에퍼져나가며영향력을확대하고있다. 특히패턴인식에서비롯되는이미지, 음성, 자연어처리등에강점을보이는딥러닝 (Deep Learning) 은급속한발전을거듭하며다양한분야에적용되고있다. 음성인 17 전자공학회지 _ 843

24 유창동 식기술과자연어처리기술이결합되면서인공지능비서서비스가가능해지고, 자연어처리기술과빅데이터분석기법을활용한로봇저널리즘 4) 이등장하였다. 얼굴인식기술은이미인간수준에이를정도로발전하여소셜네트워크서비스 (Social Network Service, SNS) 등에활용되고있다. 하드웨어성능이향상되면서영상인식기술의신속한처리가가능해지고, 이는자율주행분야에까지적용되고있다. 로봇제어와게임인공지능분야는이미오래전부터널리활용되고있었다. 뿐만아니라, 기계학습은콘텐츠와사용자분석에도활용되고있으며, 나아가금융, 의료서비스등폭넓은산업에서활용을검토하고있다. 이에본저자는기계학습분야의중심축을이루는멀티미디어분석을위한방법및응용사례등을소개하고앞으로의전망과방향을살펴보고자한다. Ⅱ. 영상및음향분석을위한기계학습방법및응용사례 1. 최대마진방법 SVM (Support Vector Machine) 은주어진데이터에대한분류와회귀분석에사용되는대표적인지도학습기반기계학습방법으로, 1995년 Vapnik 에의해제안되었다 [2]. 일반적으로분류모델을학습한다는것은특징공간상에서서로다른카테고리에속하는데이터들을분리하는판별경계 (hyperplane) 를찾는것이다. 여기서각카테고리의데이터벡터들로부터주어진판별경계까지의거리중가장짧은것을마진 (margin) 이라정의하며, 학습에러를줄이면서마진이큰판별경계일수록테스트데이터에대한분류에러가줄어든다. SVM 은학습데이터에대해일정정도의분류에러를허용하면서마진을최대화하는판별경계를찾음으로서테스트에러를줄이는최대마진 (max-margin) 알고리즘으로, 영상및음향데이터의멀티클래스분류문제에널리적용되어왔다. 많은영상및음향데이터관련문제에서기계학습기 4) 로봇이인터넷상의뉴스기사와통계수치등빅데이터를분석하고종합하여뉴스기사로작성하는것 법을적용할데이터의출력이단순한카테고리라벨이아닌좀더복잡한구조를가진경우가많이있다 ( 예 : parsing tree, sequence, graph...). 이와같은복잡한구조의출력은보통여러개의컴포넌트로이루어지며, 각컴포넌트간의의존도 (dependancy) 가존재한다. 이와같은문제들을구조적출력예측문제 (structured output prediction task) 라하며, 이때는 SVM 을확장한 Structured-SVM (SSVM) 을사용한다. SVM 에서 0-1 loss 를 upper bound 시키는 hinge loss 를최소화시킨것과유사하게, SSVM 에서는정답출력과예측된출력간의오차정도를측정하는 structured loss 를사용자가임의로모델링할수있으며이를 upper bound 시키는 hinge loss 를최소화시키도록학습이이루어진다. KAIST 인공지능연구실에서는이와같은최대마진기법을음성인식 [3], 음성감정인식 [4], 그리고영상세그멘테이션 [5,6] 등의다양한 structured output 태스크에적용하여우수한성능을내었다. [3] 에서제안한음성인식방법은 < 그림 2> 와같이음성시퀀스의분할및각분할된영역에대한음소 (phone) 라벨링을동시에가능케하는준-마르코프모델 (semi-markov model) 을제안하고이를 SSVM 으로훈련함으로서기존의 HMM 기반음성인식에비해향상된성능을얻었다. [4] 에서제안한음성감정인식방법은각음성감정카테고리에대해주어진훈련데이터를가우시안믹스쳐모델 (GMM) 로모델링하고그파라미터를 SSVM 으로훈련하였으며, 이때정답감정과예측된감정간의 loss function을단순히 0-1 loss 가아닌, < 그림 2> 준마르코프모델을이용한음성인식 844 _ The Magazine of the IEIE 18

25 멀티미디어분석을위한기계학습 < 그림 4> 수퍼픽셀화된이미지와수퍼픽셀그래프 < 그림 3> 음성감정카테고리간거리를정의하는데사용된 Watson and Tellegen s model (WTM) < 그림 3> 에나와있는것과같은 Watson and Tellegen s model (WTM) 에기반하여특수하게정의하였다. WTM 은인간의여러가지감정카테고리들을 2개의축을가진공간상에나타냄으로서감정카테고리간거리를이공간상의유클리디안거리로계산할수있다. [5,6] 에서제안한영상세그멘테이션방법은입력된영상을먼저유사한픽셀들끼리클러스터링하여수퍼픽셀화하고, 인접한두개의수퍼픽셀을합칠지 (merge) 혹은분할된상태로둘지 (split) 를결정함으로서최종적으로분할된영상을얻는것이다. 이를 [5] 에서는 < 그림 4> 에나온것처럼각수퍼픽셀을 node 로하고인접한수퍼픽셀간에 edge 를갖는 graph를구성하여, 인접한두수퍼픽셀간의차이정보를담고있는특징벡터를추출하고이를기반으로각 edge를 0 또는 1 로라벨링하는문제로구성하였다. 이문제에서입력은수퍼픽셀화된영상으로부터추출된각 edge 의특징벡터이고, 출력은엣지개수만큼의차원을가진바이너리벡터로생각할수있다. 그런데주의할점은, 인접한수퍼픽셀들을합칠때 triangular constraint 가만족되야한다는점이다. 예를들어 a,b,c 라는세개의서로인접한수퍼픽셀이있을때, 만약 a 와 b 가합쳐지고 b 와 c 가합쳐지기로결정되면 a와 c 는분할될수없고, 합쳐져야만한다. 따라서각 edge 의라벨은독립적으로결정되는것이아닌다른 edge 라벨들에의해의존적으로결정되며, 앞서설명한구조적출력예측문제의조건에부합하게된다. [5] 에서는분할된영상을추후에어떤영상분석태스크에적용할것인지에따라정답 edge 라벨을다르게주어 SSVM을훈련 < 그림 5> Hypergraph 에기반한고차원영상분할알고리즘하는태스크맞춤형영상분할알고리즘을제안하였으며, [6] 에서는입력영상을계층적으로수퍼픽셀화하여 hypergraph 를만들고, 맨아랫단에해당하는수퍼픽셀레이어의각 edge 의라벨을결정할때해당특징벡터만참고하는것이아니라두수퍼픽셀을포함하는상위레이어의좀더큰영역으로부터추출된특징벡터를함께고려하는고차원 (higher-order) 영상분할알고리즘을제안하여 [5] 에비해성능향상을이루었다 < 그림 5>. 2. 결정트리, 랜덤포레스트분류와회귀문제에널리사용하는또다른모델로는결정트리 (Decision Tree) 가있다. 기본적으로결정트리는주어진복잡한문제를간단한문제들로이루어진계층구조형태로나누는기술이다. 하나의트리는계층구조로이루어진노드 (node) 들과엣지 (edge) 들의집합으로구성되며, 모든노드가들어오는엣지를오직하나만갖도록제한되며나가는엣지는보통두개로많이사용한다. 예시로 < 그림 6> 에동물을분류하기위한결정트리모델이나와있다. 입력데이터에대해뿌리노드에서특정질문을하고, 그결과에따라데이터를오른쪽또는왼쪽의다음노드로옮기는과정을리프노드에도달할때까지반복하여최종분류를한다. 이와같은결정트리를학습할때는어떤질문을어떤순서로할것인지를결정해야하는데, 가장좋은방법은예측하려는대상에대해 19 전자공학회지 _ 845

26 유창동 < 그림 7> GPRT [8] 를이용한얼굴랜드마크검출결과 < 그림 6> 동물분류를위한결정트리가장많은정보를담고있는질문순으로하는것이좋으며, 여기서 얼마만큼의정보를담고있는가 는엔트로피로정의될수있다. 이와같은결정트리는이해하고해석하기쉬우며, 간편하고빠른훈련및테스트에있어서강점을가지고있다. 그러나결정적인단점은트리만들기를모든리프노드가한클래스의데이터만을갖는순수노드가될때까지진행할경우모델이매우복잡해지고훈련데이터에과적합 (overfitting) 될수있다. 랜덤포레스트 (random forest) 는의사결정트리의앙상블 (ensemble) 모델로, 훈련과정에서결정한다수의결정트리로하여금투표를시켜다수결로결과를정하는모델이다. 의사결정트리에서발생했던과적합문제를회피하고테스트데이터에대한일반화성능을좋게하기위해서, 랜덤포레스트를구성하는의사결정트리들간에는임의성 (randomness) 이있어서같은입력에대해서서로충분히다른결과를낼줄알아야한다. 이를위해서각의사결정트리를학습시임의로데이터를샘플링해서사용하는배깅 (bagging) 을주로사용한다. KAIST 인공지능연구실에서는얼굴이미지정규화 (alignment) 를위해랜덤포레스트와가우시안프로세스 (Gaussian Process) 를융합한가우시안프로세스회귀트리 (GPRT; Gaussian Process Regression Trees) 를제안하여우수한성능을내었다 [8]. 얼굴이미지정규화문제는 < 그림 7> 에보이는것처럼입력얼굴영상으로부터얼굴의주요랜드마크 ( 눈, 코, 입, 턱선등 ) 포인트들의위치를예측하는회귀문제로볼수있다. 비모수베이지안기법중하나인가우시안프로세스 [12] 는보통이러한회귀문제에서좋은성능을보이는알고리즘이지만, 주어진커널함수를이용해 covariance matrix와그역을 구하는과정이필요하고이것이훈련과테스트시에많은연산량을필요로하여속도가느린단점이있다. 이를해결하기위해 [8] 에서는가우시안프로세스회귀모델의커널함수를랜덤포레스트로모델링하여, 두입력데이터의커널값을두입력데이터가같은리프노드에떨어지는트리의개수로정의하였다. 이로인해랜드마크검출에있어기존가우시안프로세스회귀모델의정확도를유지하면서도검출속도를크게향상시킬수있었다. Ⅲ. 영상및음향분석을위한딥러닝방법및응용사례 1. 심층신경망심층신경망은입력층과출력층사이에여러개의은닉층 (hidden layer) 으로이루어진인공신경망으로요소의선형결합을중복적이고연속적으로적용함으로써비선형분류를가능하게하는알고리즘이다. 심층신경망의기본적인구조는 < 그림 8> 과같이여러층으로구성되어있고, 각층은여러개의노드로이루어져있다. 각노드는인간의신경망을구성하는뉴런을모사하도록설계되어있는데, 일정크기이상의자극을받으면반응하도록연산이이루어진다. 이때자극의크기는가중치 ( 계수 ) 가 < 그림 8> 심층신경망의구조 846 _ The Magazine of the IEIE 20

27 멀티미디어분석을위한기계학습 적용된입력값의합으로주어지고활성함수 (activation function) 를통과하며반응의크기가결정된다. 활성함수는시그모이드 (sigmoid), 쌍곡탄젠트 (hyperbolic tangent), ReLU (rectified linear unit) 등다양한형태가쓰이지만현재까지 ReLU가가장좋은성능을내는것으로알려져있다. 심층신경망의학습은오류역전파알고리즘에기반하여이루어진다. 오류역전파알고리즘은먼저주어진계수로순방향진행을거쳐출력값이결정되면이를실제레이블과비교하여오류를계산한후, 이오류가역방향으로전달되면서각층에서의계수를업데이트하고, 업데이트된계수를사용하여이과정을반복수행함으로써이루어진다. 비교적간단한원리에비해복잡한모델에서의오류역전파연산을직접구현하는것은다소어려움이있지만, Caffe, Theano, Torch, TensorFlow 등의딥러닝라이브러리를사용하면오류역전파알고리즘구현이용이해진다. < 그림 8> 과같은기본구조의심층신경망을피드포워드 (Feed-forward) 네트워크라하는데, 이구조는이미지나순차적 (sequential) 데이터를처리하는데한계가있다. 이런문제를해결하기위해컨볼루션신경망 (Convolutional Neural Network, CNN) 이나순환신경망 (Recurrent Neural Network, RNN) 과같은변형된형태의심층신경망이고안되었다. 컨볼루션은입력이미지의공간적분포를유지한상태로이미지의적절한특징을추출하기위해필터를사용하는연산 (operation) 을의미한다. 필터를거쳐출력된결과를피쳐맵 (feature map) 이라하는데, 딥러닝이널리사용되기이전에는인위적으로필터를선택하여컨볼루션연산을수행하는전처리과정을거친후이를학습의입력으로사용하였기때문에, 전처리과정이성능에영향을미치는경우가많았다. 컨볼루션신경망은이전처리과정의필터를학습시키는모델이다. 컨볼루션신경망은 1998년프랑스의컴퓨터과학자얀르쿤 (Yann LeCun) 이최초로고안한이후 (LeNet), 꾸준한연구가진행되었다. 2012년캐나다토론토대학의알렉스크리체프스키 (Alex Krizhevsky) 팀이고안한 AlexNet이이미지넷 < 그림 9> AlexNet 구조 < 그림 10> 순환신경망기본구조 (ImageNet) 영상데이터베이스를기반으로한이미지인식대회 (ILSVRC) 에서압도적인성능으로우승을차지하며컨볼루션신경망이각광받게되고, 현재까지도이미지, 비디오등영상처리분야에서수많은연구가진행되고있다. < 그림 9> 는 AlexNet의기본구조를나타낸다. 기존신경망에서는입력과출력이독립적이라는가정하에입력에서출력까지단방향으로진행되어시간에대한정보를담을수없었다. 그러나음성, 행동, 언어등과같이입력이독립적이지않은시계열로주어지거나출력이과거정보를내포하는경우에는이런구조가적절하지않다. 따라서이런시계열데이터는시간에따라변하는동적인입출력매핑을학습할필요가있는데, 이를위해고안된모델이순환신경망이다. < 그림 10> 은순환신경망의기본구조를나타낸다. 그림을보면 A는은닉층으로과거의정보가저장되는메모리역할을하는데, 이는현재시간의입력값뿐만아니라이전시간의정보도함께입력된다. 순환신경망은주로입력또는출력이시계열형태를갖는이미지캡셔닝 (image captioning), 행동및감정인식, 번역등의분야에서연구가진행되고있다. 2. 심층신경망의응용사례 KAIST 인공지능연구실에서는컨벌루셔널신경망을얼굴분석 [1], 사람재인식 [10] 등의다양한컴퓨터비전분야에활용하여우수한성능을보였다. [1] 에서는얼굴의인구통계학적특성 ( 나이, 성별, 인종 ) 과취향적인특성 ( 헤어스타일, 화장스타일, 수염 ), 행동적특성 ( 눈, 입제 21 전자공학회지 _ 847

28 유창동 스처 ) 등을포함한 face attribute 분석기술을개발하였다. 개발된기술의특징으로는얼굴의포즈변화에강인한얼굴정규화기술, 저차원특징및고차원특징추출기술및이에기반한 face attribute 분석기술에있다. 개발된방법은속성을정보의상관관계지도와 Gatedconvolutional neural network를기반으로하여얼굴속성을분류하는방법을제안한다. 속성정보의상관관계지도는픽셀위치와실제속성과의상관관계를제공하며속성분류를효과적으로수행하기위해서얼굴속성마다이미지상의어떤부분에서특징을추출해야하는지에대한정보를알려줄수있다 < 그림 11>. 상관관계지도를통하여속성과상관있는얼굴의부분이미지를발견할수있다. 정의된얼굴의부분이미지에기반하여 gatedconvolutional neural network는일반적인얼굴표현방법에대해서선학습되고다시얼굴속성분류를위해미세조정이된다 < 그림 12>. 각각의 gated CNN은발견된속성과관련있는얼굴의부분이미지를입력받고학습과정에서각얼굴속성을분류하는데상관이없는얼굴의부분이미지에잘못된 Loss 신호가전달되어전체학습과정에부정적인영향을주지않도록 gate가작동하여 Loss 신호의전달유무를결정하게된다. 이를위해 Labeled Faces in the Wild(LFW) 얼굴이미지벤치마크데이터셋에 40개의얼굴속성을정의하여레이블을만들 었고현존하는최고성능을내는알고리즘대비유의미한성능향상을이끌어내었다. [10] 에서는여러사람이미지들중주어진사람의부분이미지와같은사람을찾는부분적인사람재인식 (partial person re-identification) 문제를다루었다. 사람재인식문제는다중카메라네트워크에서촬영되어검출된사람이미지들을같은사람끼리매칭하는문제로, 프로브이미지가주어졌을때이와같은사람에해당하는갤러리이미지를찾는문제이다. 기존의대부분의사람재인식문제에서는프로브와갤러리둘다사람의전신을온전히담고있다고가정하였는데, 때로는사람의일부가다른물체나사람에의해가려질수도있으므로최근에는프로브이미지가사람신체의일부만을담고있는경우를가정한부분적재인식문제가중요하게다뤄지고있다. 이러한부분적재인식문제에서어려운점은프로브이미지에나타난신체부분이갤러리이미지의어느부분 < 그림 13> 위쪽좌측 : 전신샷 probe image 에대한사람재인식결과, 위쪽우측 : 부분샷 probe image 에대한사람재인식결과, 아래 : 부분적사람재인식을위한 attention model 기반네트워크 < 그림 11> 각얼굴속성별평균이미지와상관관계지도 < 그림 12> 얼굴속성분석을위한 gated CNN 구조 < 그림 14> 위 : 립리딩을이용한시각적음성인식개요도. 아래 : 시각적음성인식을위한딥아키텍쳐 848 _ The Magazine of the IEIE 22

29 멀티미디어분석을위한기계학습 < 그림 15> 위 : [12] 의오디오멜로디추출알고리즘과정아래 : 오디오멜로디추출을위한딥아키텍쳐 에매칭이될것인지가불분명하다는것이다. 이문제를해결하기위하여 [10] 에서는컨볼루셔널신경망, 관심영역특징추출망, 그리고집중모델 (attention model) 을사용한딥모델을제안하였다. 전신샷갤러리이미지를여러부분이미지로나누고, 이렇게나누어진부분갤러리이미지들중입력된프로브이미지와어떤것이잘매칭되는지를집중모델이알려주게된다. 제안된모델은집중모델을사용하지않은베이스라인모델과기존의최신부분사람재인식알고리즘에비해향상된성능을보였다. KAIST 인공지능연구실에서는위와같은정지영상기반태스크뿐아니라, 동영상이나음성과같은 sequence 를입력으로하는태스크에대해서 RNN을적용하여우수한성능을보였는데, 대표적인것으로립리딩기반시각적음성인식 (Visual Speech Recognition) [13] 과오디오멜로디추출알고리즘 [12] 을소개한다. 립리딩기반시각적음성인식 [13] 은 < 그림 14> 에나온것처럼, 다양한각도에서촬영된화자의입술움직임동영상을입력으로하여화자가말하는문장을텍스트로인식하는태스크이다. 이를위해제안된딥아키텍쳐가 < 그림 14> 아래쪽에나와있다. 각프레임별로컨벌루셔널신경망을사용해특징벡터를추출하고, 이를양방향 (bidirectional) LSTM 의입력으로넣어서 phrase를예측한다. 추가적으로, 본논문에서는시각적음성내용인식의주요학습에보조적으로비디오각도인식학습을포함하는다중태스크학습방법을이용하여화자의정면뿐만아니라 30도, 45도, 60도, 측면에해당하는다각도 의비디오가입력으로들어왔을때에도내용을높은정확도로인식할수있었다. 다음으로멜로디추출알고리즘을소개한다. 음악에서멜로디라는것은사람에게특정음악을허밍혹은휘파람으로재현해보라고했을때나타나는피치 (pitch) 시퀀스로정의가되며, 이러한멜로디를자동으로추출하는알고리즘 [12] 은자동음악검색시스템구현을위한핵심기술이다. 이를위한기존알고리즘들은기계학습이아닌신호처리관점에서접근한것이대부분이어서성능에한계가있었다. [12] 에는이를해결하고자, 최초로멜로디추출에 LSTM-RNN 모델을적용하였다. 특별히, 모델훈련시 RNN 의각 time step에서출력되어나오는멜로디와정답멜로디의 cross-entropy 를줄임과동시에, 스펙트럴도메인에서의멜로디노트가 harmonic structure를가지는것을고려한 harmonic loss를추가로줄이도록하여 octave mismatch 가일어나는것을방지함으로서멜로디추출성능을향상시킬수있었다. 3. 딥생성모델딥생성모델 (Deep generative model) 은심층신경망을이용하여이미지, 비디오, 음성등을생성하는모델이다. 대표적인모델로는이미지를생성하는 Generative Adversarial Networks (GAN) 가있다 [11]. 이모델은이미지를생성하는 generator와 generator가생성한이미지 < 그림 16> Generative Adversarial Networks 구조 < 그림 17> GAN으로생성한이미지예 23 전자공학회지 _ 849

30 유창동 를실제이미지와구분하는 discriminator가서로대립하며서로의성능을개선하도록구현한알고리즘이다. 즉, generator는최대한실제이미지와유사한이미지를생성하려하고, discriminator는생성된이미지와실제이미지를최대한구별하려고한다는것이다. < 그림 16> 은 GAN 의기본구조를나타내고, < 그림 17> 은 GAN으로생성한이미지의예이다. 이미지생성결과를보면실제이미지와구별하기어려울정도로잘만들어내고있다. Ⅳ. 전망과결론 앞에서소개하였듯이기계학습은광범위한분야에서다양한형태의알고리즘으로진화하고있다. 이과정에서지도학습과비지도학습이모두쓰이고있지만아직까지는지도학습에대한연구및활용이활발한상황이다. 하지만인간이세상을이해할때, 모든레이블이존재하지않는것처럼앞으로의기계학습역시레이블이없는세상을이해하는비지도학습중심으로이동될것이다. 즉, 진정한인공지능의시대가도래할전망이다. 21세기에들어전성기를맞이한기계학습은실제다양한서비스형태로우리의생활속에파고들고있다. 기계학습의특성이보다손쉽게반영될수있는모바일, IoT, 스마트자동차등의 ICT 분야는지금이순간에도막대한인적, 물적자원이투입되고있으며, 어제의기술이오늘의기술과다르다는말이과언이아닐정도로매우빠른발전속도를보이고있다. 또한, 전세계적으로금융산업에서활발한도입이이루어지고있는데, 기존에는인간의직관이나분석에의존하던트레이딩에대해이미많은증권사들이기계학습알고리즘을사용하고있고, 이는더욱빠르게증가할것으로보인다. 아직은다소보수적일수있는의료분야에도기계학습의여파가미치고있다. 현재까지는인간의생명과결부되는문제로인해비교적안전성이높은헬스케어분야에우선적으로도입되고있으나, 기술발전과인식개선을통해윤리적문제에대한사회구성원의합의를이끌어내고그에대한엄정한법률적제도장치가마련된다면머지않아기계학습이진단을내리고로봇이치료하는시대가찾아오게될것이다. 한편, 기계학습의진화를우려하는시각도존재한다. 미국의컴퓨터과학자이자미래학자인레이커즈와일 (Ray Kurzweil) 은 2045년에인공지능이인간의지능을상회하는특이점에도달한이후통제불가능한상황에이를것으로예측했고, 미국라이스대컴퓨터과학과모셰바르디 (Moshe Vardi) 교수는앞으로 30년후에인간이할수있는일의대부분을기계가직접수행할것이라고전망한뒤기계가인간이할수있는일을대신하게되면인간은무엇을할것인지에문제가대두될것으로예견했다. 실제로여러산업에서이미이러한인력대체의시도가진행되고있고, 곳곳에서일자리감소에대한우려의목소리가커지고있다. 그럼에도불구하고기계학습은앞으로수많은분야에접목되어다양하고새로운시장을창출해나갈것이며, 이러한흐름은막을수없을것이다. 국내기업들역시글로벌기업들의빠른행보에발맞춰인공지능분야에막대한인적, 물적자원을투자하고있다. 인공지능의발전이초래할부정적인측면을무시할수는없지만, 그에대한우려와망설임에머뭇거려도될만큼기술의발전은여유롭게기다려주지않을것이다. 따라서바로지금이순간이우리의역량을모아기계학습분야에집중해야할때이며, 이에대한연구와지원이확대되기를기대한다. 참고문헌 [1] Sunghun Kang, et al, Face attribute classification using attribute-aware correlation map and gated convolutional neural networks, ICIP [2] Vapnik, V. (2000). Section 5.6. Support Vector Machines. The nature of statistical learning theory. New York: Springer-Verlag New York. ISBN [3] Sungwoong Kim, et al, Large Margin Discriminative Semi- Markov Model for Phonetic Recognition, IEEE Transactions on Audio, Speech and Language processing, vol.19, no.7, pp , September [4] Sungrack Yun and Chang D. Yoo, Loss-scaled Large Margin Gaussian Mixture Models for Speech Emotion Classification, IEEE Transactions on Audio, Speech and Language 850 _ The Magazine of the IEIE 24

31 멀티미디어분석을위한기계학습 processing, vol.20, no.2, pp , February [5] Sungwoong Kim, Chang D. Yoo, Sebastian Nowozin, and Pushmeet Kohli, Image Segmentation Using Higher-Order Correlation Clustering, IEEE Transactions on Pattern Analysis and Machine Intelligence, vol.36, no.9, pp , September [6] Sungwoong Kim, Sebastian Nowozin, Pushmeet Kohli, and Chang D. Yoo, Task-specific Image Partitioning, IEEE Transactions on Image Processing, vol.22, no.2, pp , Feb., [7] Hyunsin Park, Sungrack Yun, Jongmin Kim, Sanghyuk Park, and Chang D. Yoo, Phoneme Classification using Constrained Variational Gaussian Process Dynamical System in Proceedings of Neural Information Processing Systems, Lake Tahoe, Nevada, United States, December 2012 [8] Donghoon Lee, Hyunsin Park, and Chang D. Yoo, Face Alignment Using Cascade Gaussian Process Regression Trees, in Proceedings of International Conference on Computer Vision and Pattern Recognition, Boston, USA, [9] Sanghyuk Park, Hyunsin Park, and Chang D. Yoo, Complex Video Scene Analysis using Kernelized Collaborative Behavior Pattern Learning based on Hierarchical Representative Object, IEEE Transactions on Circuits and Systems for Video Technology, vol.27, no.6, pp , June (Impact factor: 2.615) [10] Junyeong Kim, Chang D Yoo, Deep Partial Person Re-identification via Attention Model, International Conference on Image Processing, 2017 [11] Ian J. Goodfellow, Jean Pouget-Abadie, Mehdi Mirza, Bing Xu, David Warde-Farley, Sherjil Ozair, Aaron Courville, Yoshua Bengio, Generative Adversarial Nets, NIPS [12] Rasmussen, C.E.; Williams, C.K.I (2006). Gaussian Processes for Machine Learning. MIT Press. ISBN X. [13] Hyunsin Park, Chang D. Yoo, Melody extraction and detection through LSTM-RNN with harmonic sum loss, ICASSP 2017 [14] HouJeung Han, Sunghun Kang, Chang D. Yoo, Multi-view Visual Speech Recognition Based on Multi-task Learning, International Conference on Image Processing, 2017 유창동 1986 년 6 월 California Institute of Technology, B.S. degree in Engineering and Applied Science 1988 년 8 월 Cornell University M.S. degree in Electrical Engineering 1996 년 8 월 Massachusetts Institute of Technology Ph. D. degree in Electrical Engineering 1997 년 ~1999 년한국통신연구개발본부선임연구원 1999 년 ~ 현재 KAIST 교수 < 관심분야 > Machine Learning for Signal Processing, Multimedia Analysis, Enhancement, Recognition, Blind Source Separation, Multimedia Security, Content Identification 25 전자공학회지 _ 851

32 특집 딥러닝을이용한객체분류및검출기술 딥러닝을이용한객체분류 및검출기술 Ⅰ. 서론 고성제고려대학교전기전자공학부김영현고려대학교전기전자공학부 2012년, 길었던 AI Winter 에대한종말을고함과동시에컴퓨터비전연구의근간이바뀔것임을알리는연구결과가세계적인학회 NIPS 에발표되었다. 사진을 1,000개의부류중하나로구분하기위해저자인 Krizhevsky 등은 Convolutional Neural Network (CNN) 을사용했다 [1]. CNN은인공신경망 (Artificial Neural Network) 의한종류이며, 그들이제안한구조인 AlexNet 은당시까지연구자들이수학적으로설계한그어떤알고리즘보다높은정확도를보였다. CNN을사용한기법이사진을보고응답한결과가인간의응답즉, 인간이사진을분류했을때의정확도를따라잡기까지는오랜시간이걸리지않았다 [2]. 딥러닝 (Deep Learning) 이라지칭되는심층신경망 (Deep Neural Network, DNN) 은언뜻인공지능 (Artificial Intelligence, AI) 과동일시되지만, < 그림 1> 에서볼수있듯이 AI라는큰범주안에속한세부기술일뿐이다. 딥러닝이대부분의상황에서기존기계학습 (Machine Learning) 기법보다높은성능을보인다고는하나, 아직은특정분야에한정지어진문제만해결가능한 좁은인공지능 (Narrow AI) 의수준에머물고있다. 그럼에도딥러닝은 생각하는기계 를실현할수있는잠재력을가졌기때문에 AI와동일시되고있다. 컴퓨터가생각하는기계가되기위해서는인간처럼다양한감각을통해주변현상을학습할수있어야한다. 특히영상이나동영상의장면을이해할수있는능력이필요하고, 이를위해영상분류와객체검출에대한연구가중요하다. 영상분류와객체검출은최근수년사이 CNN을사용해괄목할만한발전을이룬분야이기도하다. 본글에서우리는객체분류를위해제안된딥러닝특히 CNN 구조들과그의미를분석한후, 객체검출기법에대해소개한다. 852 _ The Magazine of the IEIE 26

33 딥러닝을이용한객체분류및검출기술 < 그림 3> Convolution 연산과정 < 그림 1> AI, Machine Learning 그리고 Deep Learning Ⅱ. CNN 을이용한객체분류기법 < 그림 2> 에서볼수있듯이, 인공신경망은 Layer 를 연속적으로쌓은구조를통해인간의계층적인지구조를모방한다. 그중 CNN은일반적으로 Convolution, Batch Normalization (BN) [3], Activation ( 특히 ReLU [4] ) 및 Pooling Layer [5] 로구성되는데 [6], 그중가장핵심적인것은 < 그림 3> 에서보이는 Convolution 연산이다 1). Convolution 연산은특정크기의커널 (Kernel) 을입력영상의일부분과겹쳐 Matrix Multiplication을수행한다. 최근에는큰크기의커널이나서로다른크기의커널을사용하는대신, 3 3 크기의커널만으로신경망을구성하는추세다. 보통하나의 Layer에서수십 ~ 수 < 그림 2> 인간의인지구조와인공신경망 1) Convolution Layer 에서사용되는연산에대한개념은 Convolution 과 Cross-Correlation 이혼재되어사용되고있다. 둘간의차이는입력에곱해지는커널이뒤집어져연산되는지여부이다. 일반적으로학습되는커널은같다고볼수있기때문에, 이두연산에대한엄밀한구분은생략된다 [7]. 백개커널이사용된다. 따라서 Convolution의결과로구한 Feature Map은커널의개수와같은채널수를가지는다채널영상의형태를가진다. 인공신경망이전에도연구자들이설계한커널을통해추출된특징이른바 Hand-designed Feature 를사용하는기법들이있었다. 연구자들이최적의커널을개발해알고리즘의성능을높이려애썼던것과마찬가지로, CNN은최적의파라미터즉커널을학습과정을통해스스로찾아낸다. 이어지는단락에서우리는대표적으로사용되는 CNN 구조를소개하며, 각구조의 Layer 구성이가지는의미를분석한다. 1. VGG Network (VGG Net) Oxford의 Visual Geometry Group (VGG) 연구팀이개발한 CNN 구조가 VGGNet 이다 [8]. 2014년, VGGNet 은이미지인식의대표적인대회인 ILSVRC (ImageNet Large-Scale Visual Recognition Challenge) [2] 에참여하여우수한성능을검증받았다. 초기 CNN들은 10개미만 Layer로구성된 얕은 형태의네트워크가사용되었다. 대신넓은범위의정보를표현하기위해 7 7 또는 5 5같은큰크기의커널을사용했다. 반면에 VGGNet는 3 3 크기의커널만으로 CNN을구성한다. 커널의크기를줄임으로써 VGGNet은두가지장점을가질수있었다. 먼저, 큰크기의커널을바로사용했을때보다적은수의파라미터를필요로하므로, 학습네트워크학습이용이해진다. 여기서파라미터는 CNN의학습과정에서최적의값으로결정되는커널들을말한다. 예를들어 < 그림 4> 와같이 5 5커널을한번사용해 5 5 영역에대 27 전자공학회지 _ 853

34 고성제, 김영현 한특징을추출할수도있지만, 3 3 커널을사용한 Convolution을 2번수행할경우에도 5 5 영역에대한특징을추출할수있다. 마찬가지로 7 7의경우, 연속된 3번의 3 3 Convolution으로표현이가능하다. 여기서주목할점은 3 3 커널만으로 CNN을구성했을때, 더적은수의파라미터로같은표현이가능하다는것이다. 두번째장점은넓은영역을표현하기위해여러번의 Convolution을거치면서 CNN의표현력이높아진다는것이다. Convolution-BN-ReLU 로구성된일련의과정이분류기의역할을한다고할때, 이것이여러층으로쌓일수록더많은분류기들을활용할수있어표현력이높아진다고할수있다. CNN이풀어야하는문제의복잡도가높을수록, 이를해결하기위해더많은 Layer를가지는것도이때문이다. 연속된 3 3 크기의커널로 Convolution을수행하면, 하나의큰커널로 Convolution을수행할때보다더적은파라미터로더높은표현력을가질수있기때문에 CNN은향상된성능을가질수있다. 이러한장점때문에 VGGNet 이후의 CNN들은대부분 3 3 크기의커널로만구성된다. VGGNet가제안될때, 영상분류성능은 GoogLeNet [9] 에이어 2등을차지했다. 그럼에도 < 그림 5> 처럼직관적인구조덕분에영상의분류뿐만아니라다양한분야에서영상의특징추출을위한기본구조로널리활용되고있다. 2. Residual Network (ResNet) 앞선설명에서, 복잡한문제를풀기위해서 CNN의깊이가깊어져야함을언급한바있다. 하지만보완책없이단순히 Layer 수만증가시키는것은 CNN의성능향상에도움이되지못한다. Layer 수가증가하면 Convolution 을수행할커널이많아진다는것이고, 이는곧학습해야할파라미터수의증가를의미한다. 수백 ~ 수천만개에달하는파라미터를제대로학습하는것은어려운일이며, 네트워크의깊이가지나치게깊기때문에파라미터학습을위한 Gradient가네트워크전체로전파되지못하는문제도발생한다. CNN의성능하락은제대로학습되지못한커널에기인한것이므로, 이를해결하기위해 Residual Network (ResNet) [10] 이제안되었다. VGGNet과같은일반적인 CNN은 < 그림 6(a)> 와같이입력 X가 Layer 연산 H( ) 즉 Convolution-BN-ReLU 혹은 BN-ReLU-Convolution 를거쳐출력 H(X) 를만든다. 그러나 ResNet은 < 그림 6(b)> 처럼입력에서바로출력으로연결되는 Identity Path 를추가하여 H(X) = X + F(X) (1) 가되도록하였다. 기존구조와큰변화는없으나, 파라미터는 Feature Map이 H(X) - X를얻도록학습된다. 학습을위한 Gradient가 Identity Path를통해입력과가까운 Layer까지직접전달되기때문에, 앞서언급한 Gradient의전파문제를해결할수있었다. 특징생성측면에서 Residual 구조의역할은영상을 Up-Sampling하는 Super Resolution (SR) 과정을통해이해해볼수있다. H(X) 가우리가구하길원하는고해상도영상이라고할때, X는낮은해상도를가진입력영상 < 그림 4> 5 5 Convolution 의표현 (a) 5 5 커널사용, (b) 2 번의 3 3 커널사용 < 그림 5> VGG Network 의구조 < 그림 6> 기법별 Layer 구성 854 _ The Magazine of the IEIE 28

35 딥러닝을이용한객체분류및검출기술 이라고할수있다. 고해상도영상전체를새로만들어낼수도있지만, 디테일정보만복원해저해상도영상과합쳐결과영상을만들어낼수도있다. Residual 구조는입력에서추가로필요한정보 (SR의경우에는디테일정보 ) 만생성하도록구성되었다. 정리하면 ResNet은입출력차이를고려해파라미터를학습함으로써, 전혀새로운 Feature를생성하는것보다쉽게신경망학습이가능하다. 이렇게나머지 (Residual) 을학습한다는관점에서 Residual Learning이라불리게되었다. ResNet의연구결과는심층네트워크도쉽게최적화가가능하도록하였다. 직관적인구조와높은성능으로인해 ResNet은 VGGNet과더불어다양한분야에서널리활용되고있다. 3. Densely Connected Network (DenseNet) 앞서소개된 ResNet은 Residual 에집중해학습을수행하기때문에, 필요한 Feature를학습하기위해상당한수의 Layer가소모된다. Densely Connected Network (DenseNet) [11] 에서이를해결하기위한새로운 Layer의연결방법을제안되었다. < 그림 6(b)> 와같이출력을단순하게합하는 ResNet과는달리, DenseNet의경우 < 그림 6(c)> 처럼연속 (Concatenation) 으로쌓아누적한다. < 그림 7> 과같이 Layer를거칠수록이전단계에서만들어진 Feature들이켜켜이쌓여, Feature Map이모든후속 Layer로직접전달되도록신경망구조를설계했다. 저자는이를밀도높은 Layer 연결 (Densely Connected Layer) 이라고표현했다. 밀도높게연결된 Layer 구조는 Residual 구조보다직접적인 Gradient 전파가가능하고, 이는신경망학습에유리한것으로분석되었다. ResNet과같이깊은네트워크들의경우일부 Feature Map이중복되는등의원인때문에성능에기여하지못 하고사장되는문제가있었다. DenseNet은이전 Layer 에서만들어진결과를바로사용하기때문에중복되는 Feature가다시만들어질가능성이적다. 이는 DenseNet 논문에서실험으로증명되었으며, 40개의 Layer를가진 DenseNet으로도 110개의 Layer가사용된 ResNet과동등이상의성능을낼수있음을보였다. 4. Inception Network (InceptionNet) GoogLeNet [9] 은같은시기에소개되었던 VGGNet 보다적은파라미터를가지면서도더높은성능을보였다. 하지만섬세하게설계된복잡한구조때문에널리활용되지못했다. 이에반해 VGGNet이나비교적최근에제안된 ResNet은그직관적인구조덕분에이후의연구에서널리활용되었다. GoogLeNet의저자는핵심개념인 Inception 구조가가지는장점을살려새로운버전의 GoogLeNet인 InceptionNet [12] 을제안했다. InceptionNet은 < 그림 6(d)> 에서보이는 Inception 모듈들로구성되어있으며, Layer에따라병렬로처리되는 Convolution의커널크기, 횟수등이달라진다. 그림에서는한번씩의 Convolution으로구성된블록의병렬적조합으로표현되었으나, 실제로는두번이상의 Convolution을거치기도한다. 서로다른크기와깊이를가진병렬의 Convolution Path 덕분에 Inception 구조는 Multi-scale을동시에처리할수있으며, 마찬가지로서로다른특징을가지는 Feature Map에대한취합이가능한장점이있다. 하지만 InceptionNet은여전히 VGGNet이나 ResNet 처럼통일된구조를가지지않는다. 하나의 Network 안에서도계층에따라 Inception-A,B,C 등서로다른형태를가지는 Inception 모듈들로구성되어여전히높은복잡성을보이고있다. 최근에는 Residual 구조를포함시킨 Inception-ResNet [13] 이소개되기도했다. Ⅳ. CNN 을이용한객체검출기법 < 그림 7> DenseNet 구조 객체검출은 < 그림 8> 과같이영상속에담긴객체의종류와위치를알아내는기법이다. 예시그림에서보듯 29 전자공학회지 _ 855

36 고성제, 김영현 객체검출은객체인식보다어려운문제이다. 일반적인객체인식은어떤객체에대한사진인지에대해서만판단하는것에반해, 객체검출에서는영상어딘가에있을객체의위치까지알아내야한다. 뿐만아니라, 한영상에여러객체가존재할수있으며그크기또한각양각색이다. Viola-Jones 검출기는최초로실환경에적용가능한검출성능을보여준실시간객체검출기법이다 [14]. 이기법은 < 그림 9> 와같이대상으로하는객체의특성을잘표현한다고생각되는다수의영상특징들을설계하고, 기계학습방법의하나인 Adaboost 알고리듬을통해이특징을사용하는분류기를학습한다. 서로다른크기의객체를검출하기위해, 다양한크기의영상들로이루어진 Image Pyramid를생성하고, 학습된분류기를 Sliding Window 방식으로 Image Pyramid에적용한다. 이연구를기반으로다양한특징들이설계되었고, SVM 및 Boosting의다양한변형기법들이제안되어객체검출연구를이끌었다 [15-16]. 그러나연구자들이다양한종류의객채가가지는공통된, 혹은차별화된점을모두고려한 Feature를설계하는데에는한계가있었고, 다중객체검출기법연구는눈에띄는성능향상을보이지못한채다소정체되어있었다. < 그림 8> 객체검출의예 < 그림 9> Haar-like Feature 및 Image Pyramid 를이용한 Sliding Window Detector 딥러닝에대한관심증가와관련기술의발전에따라정체되어있던객체검출에도딥러닝을적용하는연구가시도되었다. 초기시도에서는 CNN을우수한특징추출기로써만사용했다. 이는기존연구방향을그대로따른것으로, 미리분류된관심영역이객체를포함하고있는지판단하기위해 CNN에서추출한특징을활용했다. 이러한접근방법은 관심영역기반객체검출기법 으로분류된다. 하지만이러한방식은구조적인비효율성을내포하고있었고, 특히 End-to-End 학습이용이하지않은단점이있었다 [17]. 이를개선하기위해한번의 Feed- Forward 과정에서영상내모든객체검출이가능한 Single-Stage 객체검출기법 이제안되었다. 최근제안된기법들은빠른속도와 CNN학습의용이함뿐만아니라관심영역기반기법에버금가는성능을보이고있다. 이어질단락에서우리는관련된대표기법및최신기법의특징에대해소개한다. 1. 관심영역기반객체검출기법 R-CNN [18] 은객체검출에 CNN을적용한선구적기법중하나로 < 그림 10> 에서보이는과정으로객체를검출한다. 먼저입력영상을대상으로후보영역제안 (Region Proposal) 기법을수행하는데, R-CNN은여러제안기법중선별탐색 (Selective Search) [19] 을사용했다. 선별탐색기법의결과로객체가있을법한관심영역 (Region of Interest, ROI) 들을추출할수있으며, 이는 < 그림 10> 의두번째그림에서보이는노란색사각형들이다. 이후 CNN은각 ROI를입력받아객체분류를위한특징을추출한다. 이특징을사용하여 SVM을학습함으로써객체의종류를결정한다. 다시말해, R-CNN은검출된 ROI로객체의위치를결정하고이어지는분류과정을통해객체의종류를결정한다. R-CNN과기존검출기법과의차이는객체검출을위해연구자들이설계한특징대신에 CNN을통해학습된특징을사용한다는것이다. CNN은연구자들이설계하지못했으나객체검출에적합한특징을학습할수있었고, 이를통해기존기법대비높은검출성능을확보할수있었다. R-CNN을시작으로 Region Proposal 기반의객체검 856 _ The Magazine of the IEIE 30

37 딥러닝을이용한객체분류및검출기술 [22] < 그림 12> SSD의네트워크구조 < 그림 10> R-CNN을사용한객체검출과정 [18] < 그림 11> Faster R-CNN 의구성 [20] 출을수행하는다양한딥러닝기법들이개발되었는데, 그중대표적인예로 Faster R-CNN [20] 을들수있다. Faster R-CNN은 < 그림 11> 의구조와같이기존의객체검출을수행하는 CNN 외에 ROI를검색하는후보영역제안네트워크 (Region Proposal Network, RPN) 를추가했다. R-CNN은 ROI 추출을위해딥러닝을사용하지않은기법을사용했으나, Faster R-CNN은 ROI 추출부터객체분류까지모두딥러닝으로구성되었다. 이때문에 Faster R-CNN은입력영상과결과만을이용해신경망을학습하는 End-to-End 학습이가능해졌고, 진정한의미의딥러닝을이용한객체검출기법이되었다. 2. Single-Stage 객체검출기법앞선 R-CNN이나 Fater R-CNN처럼관심영역기반의검출기법들은사전작업으로객체의관심영역후보군을축소하는검증작업을수행한다. 사전검증작업은객체분류모듈이효율적이고, 효과적으로분류작업을수행하도록도울수있다. 하지만 ROI마다객체분류를수행해야하는동작원리때문에전체검출기의수행속도가저하되는요인이된다. 이를해결하기위해한번의 CNN 수행으로영상에서객체의위치와종류를결정하는 Single-Stage 기법이제안되었다. YOLO (You Only Look Once) [21] 는사전관심영역검증부분을생략하여 40 FPS (Frames Per Second) 이상의수행속도를기록한최초의기법이다. < 그림 12> 는최근가장주목받고있는객체검출기법중하나인 SSD (Single Shot Multi-Box Detector) [22] 의구조도이다. YOLO와마찬가지로, SSD 또한사전관심영역검증부분을생략하여수행속도를크게높였다. 더불어관심영역기반의객체검출기법에비해다소아쉬운검출률을보였던 YOLO와달리, 빠른수행속도에도불구하고높은성능을보여준다. 이를가능하게하는요소는흥미롭게도 SSD와기존의 Viola-Jones 검출기의유사한구조에서찾아볼수있다. 첫째로 SSD는 < 그림 12> 처럼객체인식기법에서사용되던네트워크모델에추가적인 Convolution Layer를덧붙임으로써 Image Pyramid와같은형태의다양한크기의특징맵을추출한다. 각각의특징맵은특정범위내의크기를갖는객체검출을담당한다. 둘째로 Sliding Window 방식의단순한 Convolution Layer를사용하여객체분류및객체영역을확정하는작업을수행한다. 객체검출은컴퓨터비전에서영상분석을위한첫단계와같다. 물체의위치와종류에대한파악이가능한이후에물체간의상호작용, 물체와인공지능간의상호작용이가능해진다. 초기에등장했던관심영역기반의검출기법으로는실시간의객체검출이불가능했으나, 실시간이가능한속도와향상된정확도를가진 Single-Stage 기법들이등장하면서연관된연구가활발해질것으로예상된다. 앞으로이어질검출기법연구는짧게는자율주행등의분야를위해, 길게는전체인공지능연구를위해중요한역할을맡게될것이다. 31 전자공학회지 _ 857

38 고성제, 김영현 Ⅴ. 딥러닝의미래 인공지능기술은 2017년까지최근수년간 World Economic Forum(WEF) 의 10대유망기술에꾸준히포함됐다. 유망한기술이등장하면으레그렇듯, 인공지능에대해서도여러국가가앞다투어기술선도를위한목표를제시하고있다. 수해전증강현실이나사물인터넷이주목받을때도이런흐름이있었고우리나라도투자를확충했으나, 후속연구에대한지원이미비했다. 인공지능도이런전철을밟게되는것은아닐까? 앞으로딥러닝은실생활에서활용도가높은기술로발전할수있을것인가? 지면상모두소개하지못했으나 Recurrent Neural Network (RNN), Generative Adversarial Network (GAN) 등필요에맞게특화된다양한인공신경망의종류가있고, 이를학습하기위한 Reinforcement Learning 등의방법이연구되고있다. 자율주행, 임상의사결정지원등의분야에서는딥러닝이이전의기계학습기법을사용했을때보다높은실용성을보이고있고, 적용영역도점차확장되어우리일상의많은부분에서이미사용되고있거나실용화를앞두고있다. 당장은막대한양의데이터와상당한연산능력을가진장비가필요하지만, 드론 (Drone) 과자율주행자동차그리고로봇등과같이제약이있는환경에서도인공지능에대한요구가높은만큼이에대한개선이이루어져야할것이다. 딥러닝은문제해결을위한핵심적인도구이면서도아직은완전히이해되지못한부분이많은분야이다. 따라서지금까지연구된것뿐만아니라앞으로의지속적인연구와지원이중요하다. 이를통해 좁은인공지능 을넘어튜링테스트를통과한한국의인공지능이탄생할날을기대해본다. 참고문헌 [1] A. Krizhevsky, I. Sutskever, and G. E. Hinton, ImageNet classification with deep convolutional neural networks, In Proc. NIPS, [2] O. Russakovsky, J. Deng, H. Su, J. Krause, S. Satheesh, S. Ma, Z. Huang, A. Karpathy, A. Khosla, M. Bernstein, A. C. Berg, and L. Fei-Fei, ImageNet large scale visual recognition challenge, Int. J. Comput. Vis., vol. 115, no. 3, pp , Apr [3] S. Ioffe and C. Szegedy, Batch normalization: Accelerating deep network training by reducing internal covariate shift, In Proc. ICML, [4] V. Nair and G. E. Hinton, Rectified linear units improve restricted boltzmann machines, In Proc. ICML, [5] M. Ranzato, F. J. Huang, Y. Boureau, and Y. LeCun, Unsupervised learning of invariant feature hierarchies with applications to object recognition, In Proc. CVPR, [6] J. Gu, Z. Wang, J. Kuen, L. Ma, A. Shahroudy, B. Shuai, T. Liu, X. Wang, and G. Wang, Recent advances in convolutional neural networks, arxiv preprint, arxiv: [7] I. Goodfellow, Y. Bengio, and A. Courville, Deep learning, MIT Press, [8] K. Simonyan and A. Zisserman, Very deep convolutional networks for large-scale image recognition, In Proc. ICLR, [9] C. Szegedy, W. Liu, Y. Jia, P. Sermanet, S. Reed, D. Anguelov, D. Erhan, V. Vanhoucke, and A. Rabinovich, Going deeper with convolutions, In Proc. CVPR, [10] K. He, X. Zhang, S. Ren, and J. Sun, Deep residual learning for image recognition, In Proc. CVPR, [11] G. Huang, Z. Liu, K. Q. Weinberger, and L. V. D. Maaten, Densely connected convolutional vetworks, In Proc. CVPR, [12] C. Szegedy, V. Vanhoucke, S. Ioffe, J. Shlens, and Z. Wojna, Rethinking the inception architecture for computer vision, In Proc. CVPR, [13] C. Szegedy, S. Ioffe, V. Vanhoucke, and A. Alemi, Inception-v4, Inception-ResNet and the impact of residual connections on learning, In Proc. AAAI, [14] P. Viola and M. Jones, Robust real-time face detection, Int. J. Comput. Vis., vol. 57, no. 2, pp , [15] N. Dalal and B. Triggs, Histograms of oriented gradients for 858 _ The Magazine of the IEIE 32

39 딥러닝을이용한객체분류및검출기술 human detection, In Proc. CVPR, [16] P. Dolla r, R. Appel, S. Belongie, and P. Perona, Fast feature pyramids for object detection, IEEE Trans. Pattern Anal. Mach. Intell., vol. 36, no. 8, pp , [17] Z. Shen, Z. Liu, J. Li, Y.-G. Jiang, Y. Chen, and X. Xue, DSOD: Learning deeply supervised object detectors from scratch, In Proc. ICCV, [18] R. Girshick, J. Donahue, T. Darrell, and J. Malik, Rich feature hierarchies for accurate object detection and semantic segmentation, In Proc. CVPR, [19] J. R. R. Uijlings, K. E. A. Van De Sande, T. Gevers, and A. W. Smeulders, Selective search for object recognition, Int. J. Comput. Vis., vol. 104, no. 2, pp , Sep., [20] S. Ren, K. He, R. Girshick, and J. Sun, Faster R-CNN: Towards real-time object detection with region proposal networks, IEEE Trans. Pattern Anal. Mach. Intell., vol. 39, pp , Jun., [21] J. Redmon, S. Divvala, R. Girshick, and A. Farhadi, You only look once: Unified, real-time object detection, In Proc. CVPR, [22] W. Liu, D. Anguelov, D. Erhan, S. Christian, S. Reed, C.-Y. Fu, and A. C. Berg, SSD: Single shot multi-box detector, In Proc. ECCV, 고성제 1980 년고려대학교전자공학과, 학사 1986 년 State Univ. of New York at Buffalo 전기및컴퓨터공학과, 석사 1988 년 State Univ. of New York at Buffalo 전기및컴퓨터공학과, 박사 1988 년 ~1992 년 The Univ. of Michigan, Dearborn 전기및컴퓨터공학과조교수 1992 년 ~ 현재고려대학교전기전자공학부정교수 2000 년 ~ 현재 IET Fellow 2012 년 ~ 현재 IEEE Fellow 2013 년대한전자공학회회장 2013 년 ~2016 년미국 IEEE CE Society, 부회장 2014 년 ~ 현재한국공학한림원회원 2015 년 ~ 현재 IEEE Distinguished Lecturer < 관심분야 > Deep Learning, Object Detection, Image Segmentation, Visual Odometry 김영현 2010 년고려대학교전기전자전파공학부, 학사 2012 년고려대학교전기전자전파공학부, 석사 현재고려대학교전기전자공학부, 박사과정 < 관심분야 > CNN, Object Detection, Semantic Segmentation 33 전자공학회지 _ 859

40 특집 뉴로닉 (NeuronIC) 뉴로닉 (NeuronIC) 이세돌과알파고 (AlphaGo) 박병국 서울대전기 정보공학부 2016년 3월, 전세계의이목 ( 耳目 ) 이한바둑판에집중되었다. 인간대표인이세돌 9단과인공지능대표인알파고의대결. 바둑을전혀모르는사람들에게도초미 ( 焦眉 ) 의관심사였던이세기의대결은결국알파고의 4:1 승리로끝났지만, 이것은단지서막에불과했다. 이후 1년정도만에알파고는온라인 (online) 의익명기사 ( 棋師 ) 로바둑계를제패하고, 마지막으로공식오프라인 (offline) 대국에서커제 ( 柯潔 ) 9단을 3:0 완승으로제압한후바둑계를은퇴했다. 도대체이러한알파고의괴력은어디서나온것일까? 대답은의외로간단하지만, 그에앞서바둑에대한이해와알파고에대한약간의설명이필요하다. 바둑은두대국자가 19 19의착점 ( 바둑돌을놓는곳 ) 을갖는바둑판위에흑과백의돌을번갈아놓아서더많은집 ( 같은색돌로둘러싸인빈착점의수와포위하여따낸돌수의합 ) 을차지하는쪽이이기는게임이다. 따라서대국중일어날수있는모든경우를탐색 (search) 해보면백전백승할수있다. 문제는그탐색의폭 ( 착수가능한지점수 ) 과깊이 ( 내다보아야할수 ) 가각각 250과 150이라는것이다 [1]. 이로부터경우의수를계산해보면 ( ) 이되어, 초당 번의연산이가능한슈퍼컴퓨터를사용해도 초 ( 년 ) 걸린다. 이전컴퓨터바둑프로그램들은지식기반예측 (heuristic) 등을바탕으로탐색해야할경우의수를줄이는몬테카를로트리탐색 (Monte Carlo tree search, MCTS) 기법을사용해왔지만아마추어바둑수준을벗어나지못했다. 알파고의핵심성공요인은 MCTS에정책 (policy) 과가치 (value) 를담당하는두개의컨볼루션신경망 (convolutional neural network) 을결합하여탐색해야할경우의수를획기적으로줄인데있다 [1-2]. 심층신경망 (deep neural network) 의지도학 860 _ The Magazine of the IEIE 34

41 뉴로닉 (NeuronIC) 뉴런 + 시냅스 CPU + 메모리 대규모병렬 직렬 수 ms 속도 수 ns 속도 초저전력 (20W) 초고전력 (2MW) 인지 / 추론 수치 / 논리연산 < 그림 1> 이세돌과알파고의바둑대결 < 그림 2> 인간의뇌와슈퍼컴퓨터의비교 습 (supervised learning) 과강화학습 (reinforcement learning) 을통해프로기사들의착수와형세판단능력을도입하고강화한것이다. 알파고의성공은인공지능, 그중에서도특히인공신경망 (artificial neural network) 에대한지대한관심을불러일으켰지만, 이러한성공신화에가려져간과되고있는중요한문제가있다. 다름아닌알파고가사용하는어마어마한전력문제이다. 인간의뇌는약 20W의전력을사용하는데비해, 1920개의 CPU(central processing unit) 와 280개의 GPU(graphic processing unit) 를가동하는알파고는수백 kw 수준의전력을사용하는것으로알려져있다. 인간의뇌가사용하는양보다수만배나큰전력을사용하는것이다. 거기에더해알파고는바둑에만능통할뿐아직범용인공지능 ( 강인공지능, strong artificial intelligence) 과는거리가멀다. 알파고는스스로바둑판에돌을올려놓을능력조차없지않은가? 인간의뇌와디지털컴퓨터 인간의뇌와알파고의하드웨어 ( 디지털컴퓨터 ) 사이에엄청난전력 ( 또는에너지효율 ) 차이가생기는이유는무엇일까? 이를체계적으로살펴보기위하여 < 그림 2> 에서인간의뇌와슈퍼컴퓨터의특성을비교하였다. 인간뇌의비교대상으로슈퍼컴퓨터를선택한이유는신경망연산능력 ( 주어진시간동안수행할수있는연산의양 ) 면에서두시스템이유사하다고볼수있기때문이다. 뇌의핵심구성요소 ( 빌딩블록, building block) 는 개의뉴런 (neuron) 과 개의시냅스 (synapse) 이 고, 슈퍼컴퓨터의핵심구성요소는 10 5 개의 CPU와 byte의메모리 (memory) 이다. 인공신경망에서 CPU가하는역할이뇌에서뉴런이하는역할과비슷하다고가정하면, 연산장치수에서 1/10 6 정도로열세인슈퍼컴퓨터가 10 6 배의연산속도로그열세를만회 ( 挽回 ) 하여비슷한연산능력을달성하는것으로볼수있다. 신경망연산능력은비슷한두시스템이, 같은시간동안사용하는에너지에서는무려 10 5 배의차이를보이는이유는무엇일까? 전자공학을전공한본지 ( 本誌 ) 의독자들에게이질문을한다면, 아마도뉴런의사건주도 (event-driven) 동작특성, 효율적인대규모병렬연산구조, 연산장치와메모리사이의병목 (bottleneck) 부재 ( 不在 ) 등의대답이나올것으로예상된다. 하지만일반인들도쉽게이해할수있을정도로간단하면서도기술적으로오류가없는대답이있다. 그것은 CPU를기반으로한디지털컴퓨터는신경망연산에적합하도록만들어진하드웨어가아니기때문이라는것이다. CPU는단순한계산만하는것이아니라수행해야할모든명령을처리할수있는능력을갖춘범용하드웨어이고한번에하나의연산을수행하는연산장치인데, 이를대규모병렬단순계산에사용하기때문에엄청난에너지비효율이발생하게된다. 이러한비효율의문제를해결하기위하여현재의인공신경망하드웨어에서는 CPU와함께 GPU를사용하고있다. 단순계산을수행하는수천개의코어 (core) 를사용하여수십배에이르는에너지효율의증가를얻어내고있는것이다. ( 여기서에너지효율의증가가코어수의증가에비례하지않는다는사실은. 메모리와코어의연결방식과역할분담에대한근본적인변화없이코어수만 35 전자공학회지 _ 861

42 박병국 늘이는병렬화방식으로에너지효율을증가시키는데에는한계가있음을보여준다.) 만약슈퍼컴퓨터를신경망이아니라본연 ( 本然 ) 의목적인숫자계산에사용한다면에너지효율면에서사람을압도할수있음을쉽게보일수있다. 예를들어 25 자리숫자 50 개의덧셈을하는경우를생각해보자. CPU 간통신에서발생하는지연시간등을고려하더라도슈퍼컴퓨터는 1 μs 이내에답을내놓을것이므로, 2 J 이하의에너지를사용함을알수있다. 여기에비해사람의경우는암산천재라고하더라도 10 초이상이걸리는것으로알려져있으므로최소 200 J의에너지를사용하는것으로보아야한다. 따라서슈퍼컴퓨터가사람이사용하는에너지의 1/100 만을사용함을알수있다. 숫자계산이라는관점에서보면슈퍼컴퓨터가사람보다 100 배나우수한에너지효율을가지는것이다. ( 실제로 25 자리숫자 50 개의덧셈에는 10 5 개중 100 개이내의 CPU만사용해도되므로슈퍼컴퓨터의에너지효율을사람의 10 5 배로높이는것은쉬운일이다.) 생물학적신경망과인공신경망 인공신경망은생물학적인신경망 (biological neural network) 을모방하여만들어진것이므로, 인간뇌수준의신경망연산능력을가지는초소형, 고효율의하드웨어를설계하기위해서는생물학적인신경망에대한이해가필수적이다. 그첫단계는 < 그림 3> 에나와있는것과같 이생물학적신경망의빌딩블록인뉴런과시냅스에대해살펴보는것이다. 뉴런은수상돌기 (dendrites) 를통하여신호를받아서모두더하고이를시간적분 ( 時間積分, temporal integration) 한다. 이렇게적분된신호가문턱값 (threshold) 을넘으면뉴런은 < 그림 4> 에서와같은스파이크 (spike) 전압을발생시키게된다. 스파이크는약 100 mv의크기와, 1~2 ms의지속시간을가진다. 스파이크는축색돌기 (axon) 를통하여다음뉴런으로전달되며, 전달되는동안크기와형태가변하지않는다. 뉴런의축색돌기가다른뉴런의수상돌기와만나는지점에시냅스가있다. 축색돌기에의해스파이크가시냅스에도달하면, 신경전달물질 (neurotransmitter) 의분비를촉진 ( 促進, trigger) 하게된다. 신경전달물질은수용체 (receptor) 에의해검출되고시냅스의강도 ( 强度, strength) 에따라가중치 (weight) 를곱한신호가다음뉴런으로전달된다. 신호에가중치를곱하고다음뉴런으로전달해주는역할이외에시냅스는단기 (short-term) 및장기 (longterm) 기억장치 (memory) 의역할을한다 [3]. 단기기억은스파이크이후에도남아있는신경전달물질에의해구현되는것으로알려져있고, 장기기억은수용체수또는시냅스구조의변화로인해시냅스강도가달라지는현상으로알려져있다. 장기기억에서시냅스강도의조절이일어나는주된메커니즘 (mechanism) 은스파이크시점의존가소성 (spike-timing-dependent plasticity, STDP) 이다 [4-5]. 시냅스의 STDP 과정에서시냅스이전 (pre-synaptic) 뉴런의스파이크가시냅스이후 (post-synaptic) 뉴런의스파이크보다먼저발생하면, 이전뉴런의신호가이후뉴런의신호발생에기여한것이므로, 해당시냅스의연결 < 그림 3> 생물학적신경망의빌딩블록인뉴런과시냅스 < 그림 4> 뉴런이발생시키는스파이크의형태 862 _ The Magazine of the IEIE 36

43 뉴로닉 (NeuronIC) < 그림 7> 로젠블라트의퍼셉트론 (perceptron) 개념도. 입력신호에시냅스가중치를곱한후모두더하고, 그결과에스텝 (step) 활성함수 (activation function) 를적용하여출력 < 그림 5> 스파이크시점의존가소성 (STDP) (a) (b) (c) < 그림 6> 카잘 (y Cajal) 이그린대뇌피질 (cortex) 의신경망구조 : (a) 성인뇌의시각피질, (b) 성인뇌의운동피질, (c) 1.5개월된영아의대뇌피질 강도는강화된다. 반대로이전뉴런의스파이크가이후뉴런의스파이크보다나중에발생하면시냅스의연결강도는약화된다. 따라서 STDP는 동시에스파이크를발생시키는뉴런사이에있는시냅스의강도를증가시킨다 는헤브 (Hebb) 의규칙에인과율 ( 因果律, causality) 이추가된것으로볼수있다. < 그림 5> 는 STDP 특성을보여주는그래프로, 이전과이후스파이크의시점차가작을수록연결강도의변화 (ΔW) 가큼을알수있다. 생물학적인신경망은 < 그림 6> 에서와같이뉴런이시냅스에의해다층구조로연결되어만들어진다 [6]. 인간뇌의대뇌피질은 6층으로구성되어있으며대략 개정도의뉴런으로이루어져있는데, 뇌의영역에따라층별두께가다르며, 성장단계에따라서도구조의차이 를보인다. 각층은단순한반복적층의형태가아니며, 연결도한층에서바로다음층으로만이루어지는것이아니라층을건너뛰어형성되기도한다. 생물학적인신경망 (biological neural network) 을모방하여인공신경망을구현하려는노력은 1943년맥컬록 (McCulloch) 과핏츠 (Pitts) 가뉴런의수학적모델 (model) 을발표하면서시작되었다 [7]. 1940년대말에심리학자헤브 (Hebb) 는신경가소성 (neural plasticity) 원리에근거하여헤브학습 (Hebbian leaning) 이론을제안하였고 [8], 1950년대에이를바탕으로디지털컴퓨터를이용하여헤브신경망 (Hebbian network) 에대한모의실험 (simulation) 이진행되었다 [9-10]. 인공신경망에대한하드웨어적인접근은로젠블라트 (Rosenblatt) 에의해이루어졌다. 1957년에뉴런과시냅스의기능을모방하여 < 그림 7> 과같은퍼셉트론 (perceptron) 의개념을창시하고 [11-12], 이를 마크원퍼셈트론 (Mark 1 Perceptron) 이라는하드웨어로구현하였다 [13]. 퍼셉트론은입력신호에시냅스가중치를곱한후모두더하고, 그결과에활성함수 (activation function) 를적용하여출력하는장치로, 시냅스와뉴런의기능을모방하여만들어졌다. 마크원퍼셉트론은이미지인식을목적으로설계되어, 인공뉴런에연결된 400 개의광측정기 (photocell) 배열 (array) 을가지고있었다. 시냅스의가중치 (weight) 는가변저항 (potentiometer) 으로구현하였고, 학습중에는전기모터에의해가중치조정이이루어졌다. 퍼셉트론은초기에큰기대를모았으나, 1960년대말에제대로인식하지못하는패턴 ( 예를들어선형분리가가능하지않은 XOR이나도넛문제 ) 이존재한다는것이밝혀지면서상당한기간동안침체기를겪게되고, 다 37 전자공학회지 _ 863

44 박병국 른한편으로디지털컴퓨터가비약적으로발전하면서인공신경망에대한관심은사라져갔다. 인공신경망의제1 암흑기가찾아온것이다. 이후초기의활성함수 (activation function) 였던스텝 (step) 함수가미분가능한시그모이드 (sigmoid) 함수로바뀌고, 1980년대에들어서서다층퍼셉트론 (multilayer perceptron) 을학습시킬수있는오차역전파 ( 誤差逆傳播, error back-propagation) 기법이도입되자인공신경망에대한관심이급격히늘어났다. 다층퍼셉트론은 < 그림 8> 에서와같이퍼셉트론을 2층이상쌓아올린인공신경망으로, 3층이상이되면심층신경망이라고불리는데, 로젠블라트의단층신경망이가지는단점을극복할수있을뿐아니라컨볼루션이나재귀 ( 再歸, recurrent) 구조등다양한구성이가능하여생물학적신경망에한층접근한형태이다. 이렇게뛰어난가능성을지닌다층신경망을지도학습 (supervised learning) 시킬수있는오차역전파기법은, 사실 1960년대초부터제어이론 (control theory) 의맥락에서개발되고있었고, 1982년워보스 (Werbos) 에의해신경망학습기법으로처음도입되었으며 [14], 1986년에는러멜하트 (Rumelhart) 등에의해이기법이신경망의은닉층 (hidden layer) 에입력데이터의내적표현 (internal representation) 을생성한다는사실이밝혀졌다 [15]. 수학적으로엄밀한오차역전파기법의도입은신경망연구에새로운활기를불어넣었고, 인공신경망은 연결주의 (connectionism) 의승리 라고불리는부흥기를맞이하게되었지만, 불행하게도승리의기쁨은오래가지못했다. 오차역전파기법을심층신경망에적용할때생기 < 그림 8> 오차역전파기법을사용하여심층신경망 (deep neural network) 을학습시킬때발생하는 사라지는기울기 (vanishing gradient) 문제 는 사라지는기울기 (vanishing gradient) 문제때문이었다. 오차역전파기법에서는시냅스가중치 (w ki ) 들의함수로오차 ( 비용 (cost) 또는손실 (loss) 이라고도함 ) 를정의하고, 이오차를줄이기위해가중치를어떻게변화 (Δ w ki ) 시켜야하는가를계산한다. 이방법의핵심은오차함수의기울기를구하는것이고, 기울기를구하려면오차를가중치로미분해야한다. 다층신경망의경우오차가활성함수의합성함수이기때문에미분을할때, 연쇄법칙 (chain rule) 에따라활성함수의미분치들을곱해야한다. 문제는시그모이드를활성함수로사용하면미분치의최대값이 1/4이어서, 여러층을거쳐서출력이나오는경우에는그가중치에대한오차함수의기울기가매우작아진다는사실이다. 사라지는기울기 라는용어가여기에서비롯되었다. 가중치의변화량은기울기에비례하므로, 입력쪽으로갈수록가중치변화가줄어드는, 즉학습이잘되지않는상황이발생한다. 이러한상황이 < 그림 8> 에가중치를나타내는 ΔW의크기 ( 면적 ) 로표시되어있다. 이그림에서은닉층하나를거쳐좌측방향으로옮겨갈때마다 ΔW 의크기가 1/4 이하로줄어들어서, 여러층을거친후에는고배율의돋보기로확대해야겨우볼수있는크기가되어버리는것이다. 이러한문제로인해 3층이넘는다층신경망은사실상학습이불가능한것으로간주하게되었고, 1990년대중반이후의 10여년은제2의인공신경망암흑기로전락하고말았다. 2006년이되어서야사라지는기울기문제를해결할한줄기희망의빛이나타났는데, 그것은제한된볼츠만머신 (restricted Boltzmann machine, RBM) 을활용한사전학습 (pretraining) 방법이었다 [16]. 그러나이방법은학습과정이복잡할뿐아니라, 추론 (inference) 의정확성도떨어져완전한해결책이나올때까지 4년이더걸렸다. 인공신경망역사에제2의암흑기를초래할정도로가공할파괴력을지녔던 사라지는기울기 문제의완전한해결책은허무하다고할만큼간단했다. 2010년한학술대회에서, 네어 (Nair) 는정류선형유닛 (rectified linear unit, ReLU) 이제한된볼츠만머신의특성을향상시킨다고발표했다 [17]. 그후정류선형유닛은컨볼루션신경망 864 _ The Magazine of the IEIE 38

45 뉴로닉 (NeuronIC) 오는모든신호를공간적으로적분할뿐아니라시간적으로도적분한다. 처음활성함수를선택할때이시간적분이바로신호적분이라는것을깨닫지못하고 < 그림 9> 의 (c) 대신 (a) 를선택하는바람에인공신경망연구가길을잃고 50여년을표류하게된것은아닐까? 산모퉁이바로돌아송학사있거늘무얼그리갈래갈래깊은산속헤매냐 는우리가요의노랫말이생각난다 [18]. 뉴로닉 (NeuronIC) I < 그림 9> 주요활성함수와그미분치 : (a) 스텝함수, (b) 시그모이드함수, (c) 정류선형유닛, (d) 스텝함수의미분치, (e) 시그모이드함수의미분치, (f) 정류선형유닛의미분치 을비롯한모든신경망에서빠르고정확한학습을가능하게하는활성함수라는사실이입증되었고, 현재가장인기있는활성함수로자리잡고있다. 13층의컨볼루션신경망을사용하는알파고의등장도정류선형유닛이없었더라면불가능했을것이다. 결국인공신경망부침 ( 浮沈 ) 의열쇠는활성함수가쥐고있었다. < 그림 9> 에지난 60년간인공신경망을지배해온세가지활성함수가나와있는데, (a) 는스텝함수, (b) 는시그모이드함수, (c) 는정류선형유닛이다. 오차역전파관점에서이들을비교하기위해각활성함수의미분치가 (d), (e), (f) 에나와있다. 스텝함수는미분치가항상 0이므로 ( 원점제외 ) 오차역전파가전혀이루어지지않아서제1 암흑기를불러왔고, 시그모이드함수는 사라지는기울기 문제가심각하여제2 암흑기를초래했지만, 정류선형유닛은 u k 가양수이면항상 1의미분치를가지므로, 사라지는기울기 문제가전혀없기때문에지금까지승승장구 ( 乘勝長驅 ) 해왔고앞으로도그럴것이다. 마치끝없이상승하는자기자신의모습 (< 그림 9(c)>) 처럼. 인공신경망부침의역사를돌아보면서떠오르는한가지의문은 처음에활성함수로굳이스텝함수를채택한이유는무엇일까 하는것이다. 아마도생물학적인신경망을제대로이해하고모방하지못했기때문이라고생각된다. 생물학적신경망에서는뉴런이수상돌기를통해들어 퍼셉트론은원래인공신경망을구현하는유연한 (flexible) 하드웨어의빌딩블록으로창시되었지만 마크원 이후로제대로된하드웨어후속세대를배출하지못한채, 그수학적모델만이소프트웨어로살아남아디지털컴퓨터라는잘맞지않는견고한하드웨어에갇혀살았다. 활성함수를바꿔가며퍼셉트론을진화시키고, 온갖학습기법을테스트해보면서최적의학습방법을찾아낸것도소프트웨어였기때문에, 인공신경망하드웨어는그발전의궤를인공신경망소프트웨어와함께할수밖에없었다. 오차역전파기법이도입되어인공신경망부흥기를맞이하였던 1980년대말, 반도체집적회로 (integrated circuit, IC) 로인공신경망을구현하려는많은시도가이루어졌다. 미드 (Mead) 는아날로그 (analog) 회로를기반으로다양한신경망회로를구현한집적회로를제작하였고 [19], 디지털 (digital) 회로기반의집적회로, 펄스 (pulse) 또는스파이크 (spike) 를사용하는신경망집적회로가구현되었다. 국내에서도한국통신 (KT) 연구소를중심으로뉴런칩 (chip) 개발이이루어졌다 [20]. 이시기를뉴로닉 1세대 (NeuronIC I) 연구의출발점으로볼수있을것이다. 뉴로닉 1세대연구는태동이후얼마되지않아인공신경망제2의암흑기가도래하면서쇠퇴의길을겪게된다. 상용화는커녕연구의꽃을제대로피워보지도못한채중단되어버린것이다. 사라지는기울기 문제해결의실마리가보이기시작한 2000년대중반이되어서야뉴로닉 1세대연구가재개되었고, 2010년에정류선형유닛의등 39 전자공학회지 _ 865

46 박병국 장으로완전한해결이되고나서본격적인연구가진행되었다. 뉴로닉 1세대에관한최근까지의상세한연구내용은올해 1월전자공학회지에소개되었으므로 [20] 여기서는생략하기로한다. 현재뉴로닉 1세대는상용화또는그직전단계에있다. 구글 (Google) 에서는자사의인공신경망소프트웨어라이브러리 (library) 인텐서플로우 (TensorFlow) 전용하드웨어가속기로 TPU (tensor processing unit) 를제작, 사용하고있고, IBM에서는스파이크기반신경망 (spiking neural network, SNN) 을구현할수있는트루노스 (TrueNorth) 라는 NPU(neural processing unit) 의프로토타입 (prototype) 을제공하고있으며, 퀄컴에서도제로스 (Zeroth) 라는 NPU를개발하여자사의 AP(application processor) 에장착할예정이라고발표한바있다. 뉴로닉 1세대는기존의 CMOS 회로를사용하여뉴런과시냅스를구현하기때문에높은집적도 ( 단위면적당구성요소수 ) 를얻기어렵다. 예를들어시냅스가중치를 SRAM(static random access memory) 으로구현한다고할때, 시냅스당 8 비트 (bit) 의정확도를요구한다면 48개의 MOSFET (metal-oxide-semiconductor field effect transistor) 소자가필요하게되어, 소자간피치 (pitch, 한소자의중심에서인접한다른소자의중심까지의거리 ) 를생물학적인시냅스간피치인 100 nm 정도라고할때 ( 흥미롭게도이수치는현재의최첨단반도체공정기술수준과거의일치한다 ) 48배의면적이필요하다. 뉴런의경우에는생물학적집적도가시냅스의 1/10 4 수준이되므로, 집적도요구가완화되기는하지만, 1세대인공뉴런의경우여전히생물학적뉴런의집적도에못미친다. 트루노스의경우 4096개의코어가있는데 [21], 이들코어가차지하는전체면적이 4 4 mm 2 에불과하다고가정하더라도, 코어간피치는 20 μm 정도가되어코어한개가차지하는면적이생물학적뉴런이차지하는면적 (10 10 μm 2 ) 의 4배정도가된다. 여기에더해뉴로닉 1세대가가지고있는또하나의약점은, 현재의범용하드웨어 (CPU + GPU) 세대보다나아지기는했지만아직생물학적신경망보다는훨씬낮은 에너지효율이다. 극히낮은전력을사용한다고자랑하는트루노스의경우, 뉴런 10 6 개 (4096개의 core가 256번순차연산을통해 10 6 개의뉴런역할 ) 와시냅스 개가 70 mw 정도의전력을소모하므로, 인간뇌수준의시스템이되려면 7 kw를사용해야하고, 이값은인간뇌가사용하는전력의 350배나된다. 비슷한연산능력을가정할때, 트루노스는인간뇌의 1/350 정도의에너지효율을갖는것이다. 뉴로닉 II : 빌딩블록 뉴로닉 2세대 (NeuronIC II) 에서는생물학적인신경망의초고집적, 초고효율, 초대규모병렬연산특성을모방하기위하여회로가아닌소자수준에서의획기적인변화와함께폰노이만 (von Neumann) 연산구조에서의완전한탈피 ( 脫皮 ) 를추구한다. 물론뉴로닉 1세대에서도상당수준의연산구조개혁이있었지만, 디지털회로의큰면적비용때문에폰노이만연산구조에서완전히벗어나지못했고, 집적도와에너지효율면에서도생물학적신경망과는큰격차를보이고있다. 뉴로닉 2세대의목표는여러가지면에서생물학적신경망과의격차를줄여서최대한인간의뇌수준에가까이다가가는것이다. 집적도를높이기위해서는소자의크기를줄이는방법과소자수를줄이는방법이있을수있는데, 현시점에서소자의크기를줄이는것은거의한계에가까이와있으므로, 소자수를줄이는것이가장효과적일것이다. 소자수를줄이는것은에너지효율의높이는데도도움이된다. 생물학적인신경망에서사용하는에너지효율향상의주된방법은사건주도 (event-driven) 동작방식을사용하는것이다. 즉필요할때만스파이크를발생하여에너지를소모하고그렇지않은경우에는에너지소모가거의없도록하여낭비를없앤다. 따라서앞으로이루어질뉴로닉 2 세대빌딩블록과시스템에관한모든논의는스파이크기반신경망을사용하는것을전제로진행될것이다. 생물학적신경망과유사한집적도를갖는뉴로닉 2세대시스템을위해서는생물학적시냅스의핵심특성을모두갖는인공시냅스를하나의소자로구현해야한다. 이 866 _ The Magazine of the IEIE 40

47 뉴로닉 (NeuronIC) (a) (b) < 그림 11> 시냅스이전및이후의뉴런에서발생하는스파이크의형태 : (a) 시냅스이전스파이크가이후스파이크를앞서는경우, (b) 시냅스이전스파이크가이후스파이크보다뒤에오는경우 < 그림 10> 플로팅바디시냅스트랜지스터 (floating-body synapse transistor, FST): (a) 개략도, (b) A-A 단면을잘라서본전자현미경사진 러한목적을달성하기위하여다양한인공시냅스소자가제안되고제작되어왔다. 이들대부분의소자는플래시메모리 (flash memory), RRAM(resistive random access memory), PRAM(phase-change random access memory), MRAM(magnetic random access memory) 등의메모리셀 (cell) 에기반한것이다. 집적도를높이기위해서소자의크기가작아야하고, 다양한가중치형성을위해점진적인 (gradual) 스위칭 (switching) 특성이중요하다. 이러한요구사항을고려하여여기서는플래시메모리기반의시냅스소자에대하여살펴보려한다. < 그림 10> 은플래시메모리에기반한플로팅바디시냅스소자 (floating-body synaptic transistor, FST) 이다 [22]. 이소자는 2개의독립적인게이트 G 1 과 G 2 를가지고있다. G 1 은채널 (channel) 의반전층 (inversion layer) 을형성하고조절하며, G 2 는채널의반송자 (carrier) 를전하트랩층 ( 질화막 ) 으로옮기는데사용된다. 두게이트사이의플로팅바디는채널로사용되며, 동시에충격이온화 (impact ionization) 나게이트유도드레인강하 (gateinduced drain lowering) 에의해생성된과잉반송자의저장장소로도활용된다. 플로팅바디에저장된과잉반송자 (excess carrier) < 그림 12> 흥분성과억제성시냅스동작을위한두개의전류거울을갖는적분 - 발화뉴런회로. 캐패시터 C1 을이용하여입력전류를적분한다. 의문턱전압 (threshold voltage) 강하효과는단기기억메커니즘으로활용된다. 스파이크사이의시간간격이짧으면과잉반송자가플로팅바디에축적되고, 양의귀환 (feedback) 효과가바디전압을급속히증가시킨다. 바디전압이충분히증가하여 G 2 부근에서고온반송자 (hot carrier) 를생성하게되면, 이고온반송자가질화막으로주입되고, 단기기억에서장기기억으로의전환 (transition) 이일어나게된다. 스파이크사이의시간이길어지면, 플로팅바디내의과잉반송자가재결합 (recombination) 하게되고, 단기기억에서장기기억으로의전환은일어나지않는다. 이와같은시냅스를통과하면서가중치가곱해져서들어오는입력신호를시공간적분 (spatiotemporal integration) 하고, 그적분된양이문턱값을넘으면스파이크를발생하는적분-발화 (integrate-and-fire) 회로 41 전자공학회지 _ 867

48 박병국 를설계하고제작하였다 (< 그림 12>) [23]. 이회로는두개의전류거울을사용하여흥분성 (excitatory) 과억제성 (inhibitory) 시냅스동작을구현하고있다. 스파이크전압에의해형성된입력전류는캐패시터 C 1 에의해적분되고, C 1 의전압이다음단의문턱전압에도달하면 < 그림 11> 에서와같은스파이크전압이발생된다. 장기기억과 STDP 특성을구현하기위하여시냅스소자 (FST) 의제2 게이트 (G 2 ) 에이회로의출력전압을귀환 (feedback) 시켜인가한다. 이과정에서시냅스소자가 4단자소자로서독립된 2개의게이트를가지고있다는사실이큰장점으로작용한다. 만약시냅스소자가 RRAM이나 PRAM과같은 2단자소자라면시냅스소자의한쪽단자에직접연결해야할것이고, 그렇게될경우시냅스에역방향전류가흐를뿐아니라, 시냅스와뉴런의연결을끊어주어야하는복잡한문제가생긴다. 생물학적인신경망에서는이문제를다양한생화학적채널을사용하여손쉽게해결하고있는데, 이러한사실로부터시냅스가겉보기에는 2단자소자처럼보이지만사실은여러단자가있는전자소자에해당한다는것을알수있다. 뉴로닉 II : 시스템 앞에서소개한빌딩블록들을적절히연결하면다양한구조의신경망을구성할수있는데, 한동안해결하지못했던문제는이렇게구성된인공신경망을어떻게학습시킬것인가하는것이었다. 생물학적인신경망에서사용하는학습방법이 STDP라는사실은잘알려져있지만, STDP는근본적으로비지도학습방법이어서패턴인식, 음성인식등의응용에바로사용하기어렵다. 따라서지도학습을실시하기위하여원하는형태의신경망을구성해놓고출력층에만지도신호 (training signal) 를인가하여, 출력층을제외한모든층에서는 STDP에의한비지도학습이이루어지고, 출력층에서는지도신호에의한학습이되도록하는방식을시도해왔지만인식률이기존의소프트웨어기반인공신경망에훨씬못미치는것으로나타났다. 이러한어려움은최근에정류선형유닛을활성함수로 (a) (b) < 그림 13> 정류선형유닛을활성함수로사용하는퍼셉트론과스파이크기반퍼셉트론의등가성 : (a) 정류선형유닛퍼셉트론, (b) 스파이크기반퍼셉트론 사용하는퍼셉트론과스파이크기반퍼셉트론 ( 생물학적뉴런을가장잘모방한인공뉴런 ) 사이의등가성 ( 等價性, equivalence) 이밝혀지면서해결의실마리를찾았다 [24]. 언뜻보기에매우다르게동작하는것처럼보이는두퍼셉트론이동일한시냅스가중치들을가지면같은연산을수행한다는것이다. 필자는이러한등가성이바로, 수십년에걸친인공신경망활성함수간의대결에서정류선형유닛이승리한결정적요인이라고생각한다. 정류선형유닛을도입하면서그동안놓치고있었던생물학적뉴런의핵심특성중하나를추가하게된것이다. 그것은다름아닌 ( 필자가송학사가사를읊조리기직전에떠올렸던의문과연관된 ) 시간적분이다. < 그림 13> 을보면두퍼셉트론의등가성을쉽게알수있다. 이를한문장으로표현하면 이그림의 (b) 는 (a) 의신호 (signal) 인값 (value) 들을기본단위로쪼개서시간축에배열하고동일한가중치를곱한후시간적분을통해서다시합치는연산이기때문에두연산이동일하다 는것이다. 물론여기에는시간축에배열하는방법, 문턱값 (threshold) 을결정하는방법등에대한제한조건이 (a) (b) < 그림 14> MNIST 손글씨숫자데이터 : (a) 트레이닝데이터, (b) 테스트데이터 868 _ The Magazine of the IEIE 42

49 뉴로닉 (NeuronIC) < 그림 15> MNIST 손글씨숫자인식에사용된컨볼루션신경망 붙게되지만, 근본적인등가성을훼손시키지는않는다. 이제남은일은정류선형유닛을활성함수로하는소프트웨어기반신경망에서오차역전파기법을사용하여최적의시냅스가중치들을구한다음, 이를스파이크기반신경망으로가져오는것이다. 가중치를가져오는데사용할방법은이미마련되어있다. 바로빌딩블록에다가만들어놓은 STDP 기능이다. STDP 기능을적절히활용하면빠르고정확한가중치의전사 (transfer) 가가능하다. 이상과같은시스템구현결과를예측하기위하여하이레벨시뮬레이션 (high-level simulation) 을수행하였다. 시뮬레이션대상은스파이크기반컨볼루션신경망 (spiking convolutional neural network, SCNN) 을사용한 MNIST(Modified subset of National Institute of Standards and Technology) 손글씨숫자인식이다. < 그림 14> 에 MNIST 손글씨숫자데이터의일부가나와있는데, 트레이닝데이터는숫자 60,000개, 테스트데이터는숫자 10,000개로, 0부터 9까지고르게분포되어있다. 사용된컨볼루션신경망의구조는 < 그림 15> 에나와있다. 입력이미지는 크기이고, 입력층다음에 5 5 크기의커널 (kernel) 20개를갖는컨볼루션층, 2 2 평균풀링 (pooling) 층, 3 3 크기의커널 (kernel) 50개를갖는컨볼루션층, 2 2 평균풀링 (pooling) 층, 100 노드 (node) 의은닉층, 10 노드의출력층이순서대로연결되어있는구조이다. 단일신경망을사용하였으며, 학습시이미지왜곡 (distortion) 을통한샘플수늘리기를하지않았다. 정류선형유닛을사용한소프트웨어기반컨볼루션신경망의손글씨숫자인식률은 99.35% 였다. 여기서구한시냅스가중치를스파이크기반신경망으로옮긴다음시 < 그림 16> 스파이크기반컨볼루션신경망의시간에따른 MNIST 손글씨숫자인식률변화 간에따른인식률변화를 < 그림 16> 에나타내었다. 스파이크기반신경망의입력데이터는두가지스파이크배열방식을사용하였는데, 하나는오른쪽정렬 (rightjustified) 방식이고, 다른하나는생물학적뉴런이사용할것으로생각되는뉴런방식 ( 감각기관의입력을시간적분한다음문턱값을넘으면발화하는방식 ) 이다. 시뮬레이션시간절약을위하여시간축을기본시간단위 (time step) 로양자화하였으며, 이시간단위는오른쪽정렬방식에서의스파이크간격에해당한다. < 그림 16> 을보면입력스파이크배열방식이높은인식률에도달하는데걸리는시간에큰영향을미친다는사실을알수있다. 뉴런방식의경우에는불과 20 시간단위에도달하기도전에 99% 의인식률을돌파했는데, 오른쪽정렬방식의경우에는 40 시간단위를넘어서서야 99% 의인식률을달성하고있다. 또한뉴런방식에서는 60 시간단위부근에서 99.35% 룰넘어선이후평균 99.36% 정도의인식률을유지한반면, 오른쪽정렬방식에서는한동안 99.35% 를밑도는부진함을보이고있다. 필자가아는한 99.36% 의인식률은현재까지단일스파이크신경망에서얻은최고기록이다. 맺으며 인공신경망은초기에생물학적신경망을하드웨어적으로모방하려는시도에서출발하였으나, 오래지않아디지털컴퓨터에서실행되는소프트웨어로대체되었고, 곧이 43 전자공학회지 _ 869

50 박병국 어찾아온암흑기로인해 1980년대후반이되어서야신경망집적회로인뉴로닉 (NeuronIC) 이본격적으로연구되기시작하였다. 그러나이렇게시작된뉴로닉 1세대는 사라지는기울기 문제로다시찾아온제2의인공신경망암흑기로인해 10년이상을기다린후에야꽃을피우게되었고, 이제막그결실을거두려하고있다. 뉴로닉 2세대는소자수준에서부터획기적인변화를통해인간의뇌에버금가는에너지효율과집적도를추구하며, 시스템에서도폰노이만방식을탈피하여완전한대규모병렬구조를지향한다. 최근스파이크기반신경망이본격적으로도입되고, 소프트웨어인공신경망에서 사라지는기울기 문제를해결한정류선형유닛을활성함수로채택한퍼셉트론이스파이크기반퍼셉트론과등가적이라는사실이밝혀지면서, 소프트웨어에서거둔성공을높은에너지효율을갖는하드웨어에이식할수있는길이열렸다. 알파고가인간뇌보다작은크기의하드웨어가되어우리앞에나타날날이그렇게멀지않은것같다. 참고문헌 [1] D. Silver, et al., Mastering the game of Go with deep neural networks and tree search, Nature, 529, 484, [2] C. Clark, A. Storkey, Teaching Deep Convolutional Neural Networks to Play Go, ICML, , [3] E.R. Kandel, The molecular biology of memory storage: a dialogue between genes and synapses, Science, 294, 1030, [4] G.Q. Bi, M.M. Poo, Synaptic modifications in cultured hippocampal neurons: dependence on spike timing, synaptic strength, and postsynaptic cell type, J. Neurosci., 18, 10464, [5] O. Bichler, et al., Extraction of temporally correlated features from dynamic vision sensors with spike timing-dependent plasticity, Neural Networks, 32, 339, [6] Cajal_ cortex_ drawings.png [7] W. McCulloch, W. Pitts, A Logical Calculus of Ideas Immanent in Nervous Activity, Bulletin of Mathematical Biophysics, 5(4), , [8] D. Hebb, The Organization of Behavior, New York, Wiley, [9] B.G. Farley, W.A. Clark, Simulation of Self-Organizing Systems by Digital Computer, IRE Transactions on Information Theory, 4(4), 76 84, 1954 [10] N. Rochester, et.al., Tests on a cell assembly theory of the action of the brain, using a large digital computer, IRE Transactions on Information Theory, 2(3), [11] F. Rosenblatt, The Perceptron - a perceiving and recognizing automaton, Report , Cornell Aeronautical Laboratory, [12] F. Rosenblatt, The Perceptron: A Probabilistic Model For Information Storage And Organization In The Brain. Psychological Review, 65(6), , 1958 [13] C. Bishop, Pattern Recognition and Machine Learning. Springer, [14] P. Werbos, Applications of advances in nonlinear sensitivity analysis, System modeling and optimization, Springer, pp , [15] D.E. Rumelhart, G.E. Hinton, R.J. Williams, Learning representations by back-propagating errors. Nature. 323 (6088): , [16] G.E. Hinton, R.R. Salakhutdinov, Reducing the Dimensionality of Data with Neural Networks, Science. 313(5786), , [17] V. Nair and G. Hinton Rectified linear units improve restricted Boltzmann machines, ICML [18] 김태곤, 송학사, [19] C. Mead, Analog VLSI and Neural Networks, Addison- Wesley, [20] 유회준, 지능형 SoC와그응용, 전자공학회지 44(1), 20-28, [21] P.A. Merolla, et al., A million spiking-neuron integrated circuit with a scalable communication network and interface, Science, 345(6197), 668, [22] H. Kim, et al., Silicon-Based Floating-Body Synaptic 870 _ The Magazine of the IEIE 44

51 뉴로닉 (NeuronIC) Transistor With Frequency-Dependent Short- and Long- Term Memories, IEEE Electron Device Letters, 37(3), 249, [23] J. Park, et al., Neuromorphic System Based on CMOS Inverters and Si-Based Synaptic Device, J. Nanosci. Nanotechnol., 16, , [24] P. O Connor, M. Welling, Deep Spiking Networks, arxiv, [25] P.U. Diehl, et al., Fast-Classifying, High-Accuracy Spiking Deep Networks Through Weight and Threshold Balancing, IJCNN, 2015 박병국 1982 년서울대학교공과대학전자공학과학사 1984 년서울대학교공과대학전자공학과석사 1990 년 Stanford Univ., Electrical Eng. 박사 1990 년 Bell Laboratories 연구원 1993 년 Texas Instruments 연구원 1994 년서울대학교전기공학부조교수 / 부교수 / 교수 2008 년서울대학교반도체공동연구소장 2015 년대한전자공학회장 < 관심분야 > 신경모방소자및회로, 뉴로모픽시스템, 전하트랩플래시메모리, 3 차원적층메모리, 나노 CMOS 및양자소자 45 전자공학회지 _ 871

52 특집 새로운서비스와메모리아키텍처의변화 새로운서비스와메모리 아키텍처의변화 Ⅰ. Introduction 요즘 4차산업혁명이라는말을많이들어보았을것이다. 1차산업혁명은첫번째자동직물기계와함께 1784년에시작이되었으며, 증기를동력으로이용하는기술이주요한시기였었다. 이는 100여년후에다시전기라는새로운동력원이등장하는 2차산업혁명으로이어졌다. 그로부터다시 100년후에 computer를중심으로하는 3차산업혁명시대가열리게되었으며, CPU, memory 및 storage를포함하는 IT system이생산라인의자동화를가속화시켜왔다. 이 3차산업혁명의시기에전통적인 memory hierarchy가정립되었다. 그러나이제는바야흐로 4차산업혁명이시작되는변혁의시기가도래했다. 이시기의 keyword는 AI, IoT, Big data 및 Cloud computing이라고할수있으며, 새롭게도입되고있는기술및이를이용한서비스는기존과는다른 function 및성능을요구하고있다. 그러므로, 이런새로운요구사항은 memory architecture에도영향을줄수있을것으로보인다. Ⅱ. Emerging Services 요즘뜨고있는대부분의새로운서비스는 AI기술이나 Big data를바탕에두고있다. 이러한 application이나서비스의종류는수천개이상일것이나, 여기서는가장많이알려지고인기있는몇가지서비스를살펴보고자한다. 임의철 SK 하이닉스 2.1. 개인비서개인비서는음성인식을통해서원하는정보를제공하는등의기본적 872 _ The Magazine of the IEIE 46

53 새로운서비스와메모리아키텍처의변화 인업무를수행해주는서비스이다. 대부분의개인비서는날씨, 스포츠, 차량운행정보등의간단한질문에대한답변을찾아내는데 on-line 자원을활용하고있으며, 음성인식, AI engine, 초고속통신망및보안등의최신기술을필요로한다 [1]. 현재개인비서서비스의동작흐름은다음과같다. 우선 AI speaker나 smartphone과같은기기가사용자의음성을 capture해서이를초고속통신망을통해서서비스서버에전송하게된다. 개인비서서버는사용자의음성데이터를분석하여음성인식을하고, 이에대한적절한동작을취하게된다 [2]. 이때, 사용자는즉각적인개인비서서비스의응답을기대하므로, 각종데이터의전송시간은물론처리시간을최대한줄여야한다. 전송시간을줄이기위해서 5G와같은초고속통신망이필요하며, 처리시간을줄이기위해서최신 AI algorithm 및이를가속화하기위한 AI engine이필요하다 자율주행차자율주행차는사람의개입없이주변상황을감지하고길을찾아서스스로주행할수있는자동차를말한다. 자율주행차에는다양한최신기술들이필요하며, 이중에서도센서기술은충돌방지를위해서도로위다른차들, 도로를건너는보행자들, 신호등및도로위의주행선들을감지하기위해서필수적으로필요한기술이다 [3]. 이렇게센서를통해서얻게되는다양한정보들을처리하여자동주행을실행하는데 AI기술을추가로필요하게된다. 또한, 어떠한통신망상황에서도안전한주행을보장하기위해서대부분의데이터처리와이를기반으로하는판단은서버시스템이아닌자동차내부에서이뤄져야한다 [4]. 그래서얼마나낮은전력으로 AI algorithm을구현할수있는지가자율주행차의중요경쟁력중하나라고할수있다. 트부터헬스케어, 보안및 Home automation등에이르기까지다양한범위를포함하고있으며, AI speaker 및 smartphone등을통해서집안의여러기기들을집안내부에서는물론, 외부에서도실시간으로제어하고모니터링할수있는등 intelligent하고다양한경험을제공할수있다. 이런경험들을상시제공하기위해서는저전력의상시동작가능한센서를포함하는 IoT기술은물론보다진보적인사용자인터페이스를위해서개인비서서비스와의연계가필요하다. Ⅲ. Emerging Technologies 이장에서는이전장에서소개한새로운서비스를구현하기위해서필요한기술들을소개하고자한다. 소개된서비스를위한기술및최신유행기술로 AI, Big data, 5G 및 IoT등을꼽을수있다. 최근의 AI boom은학습에필요한 data를대량제공받을수있기때문이었으며, 이러한 Big data는 smartphone과같은개인휴대용단말기기는물론다양한 IoT기기들로부터얻어지게된다. 또한이런 peta byte level의 data는 5G와같은초고속이동통신망을이용해서모아지고데이터센터에전송이될수있다. 이와같이대부분의최신기술들은어떤방식으로든서로연결이되어서 synergy를내고있다 Artificial Intelligence 일반적으로인공지능은학습이나문제해결같이사람과 2.3. 홈네트워크홈네트워크는집안의다양한기기와서비스및 App들의연결및상호작용을가능하게하는일종의네트워크서비스이다. 그리고이서비스는통신이나엔터테인먼 < 그림 1> 인공지능, 머신러닝, 딥러닝의정의 [5] 47 전자공학회지 _ 873

54 임의철 사람이연계하는인지동작을모방할수있는기계를지칭한다. 이러한인공지능에대한연구는 1950년대에시작되었으나, 그이후머신러닝개념이도입되기전까지는정체된상태가지속되었었다. < 그림 1> 에서보듯인공지능연구의초기에는연구자들이주로인간의지능과똑같은특징을갖는매우복잡한기계를만들려고시도했었다. 이런기계들은인간의감각은물론인간과동일하게생각하는것을목표로했다. 영화터미네이터의 T100이나, 스타워즈의 C3PO등이이런인공지능기계의전형적인예이다. 그러나, 이런기계들은실제구현이불가능했기때문에, 영화나소설에서만남게되었다. 이에따라서, 머신러닝에근간을둔좀더협의의인공지능에대한접근이나오게되었다. Data 를 parsing하고그것으로부터학습을해서어떤특정한문제에대해서결정을하거나예측을하는것이머신러닝이다. 인공지능연구에서의최근의가장큰돌파구는인간의뇌동작을모방하는인공신경망이다. 특히, 최근 Deep learning [6] 을이용한 image recognition algorithm은어떤시나리오에서는사람보다더나은인식률을보여주고있다. Deep learning은다양한머신러닝 algorithm 은물론전반적인인공지능분야를실제적용가능하게만들어주고있다. < 그림 2> 는 Deep learning에쓰이는일반적인 Deep neural network의구조를보여주고있다. Input layer로 < 그림 2> Deep neural network 구조 부터입력을받아들여서, 여러 layer를거친후, output layer에서최종처리결과가나오게된다. 각 neuron node에서는모든 input값들에각각 weight를곱한합을계산하게되고이를이용하여최종출력값을결정하게된다. 실질적으로이런동작은단순한곱셈및덧셈으로이뤄지지만, 매번 memory access가필요하게된다. 따라서, Deep learning을이용하는인공지능 application 들은일반적인 application에비해서높은 memory bandwidth를필요로하며, 복잡한 neural network model을이용하기위해서는 memory capacity 요구량도높아지게된다. 따라서, 인공지능 application들은일반적인 application에비해서보다 memory centric한특성을갖고있다고할수있다 Big data Big data는사람들이만들어내는것은물론각종센서나기계로부터나오는정보들을포함해서매우다양한방식으로생성이되고있으며, 양적으로폭발적인증가추세를보이고있다. 더군다나 IoT기술에의해서최근의 data 는기존에볼수없었던 speed로생성이되며, 실시간으로처리되어야하는특성을갖고있다. 다양한 source로부터모아진 Big data를수준높은분석기술과결합시키면사업상의다양한업무들을보다쉽고, 효율적이고, 적절하게수행할수있게된다. 이러한이유로, 구글, Facebook, 아마존및 Microsoft와같은대형 IT기업들은보다똑똑한서비스를제공하기위해서, 되도록많은 data를확보하기위해노력하고있다. IDC에따르면, data의양은기하급수적으로증가하고있고, 이를저장해야하는 storage 용량도이에따라증가하고있다. 인류역사전체에걸쳐서생성된 data보다도지난 2년간더많은 data가생성되었을만큼폭발적인증가세를보이고있다 [7]. Big data측면에서우리가풀어야할문제는세가지이다. 첫번째로어떻게저장할것인가? 두번째는어떻게전송할것인가? 그리고당연히세번째는어떻게분석하고사용할것인가이다. 874 _ The Magazine of the IEIE 48

55 새로운서비스와메모리아키텍처의변화 G & IoT 더많은양의 data를전송하기위해서는더높은전송속도의네트워크가필요하다. 따라서, 각종 mobile 기기및 IoT기기들로부터생성되는 data가증가함에따라, 5G 와같은무선광대역통신망이 key technology로각광받고있다 [8]. 또한거꾸로 5G기술에의해서더많은무선기기와 IoT기기가 Datacenter에연결가능해짐에따라서, data의양적팽창에일조하고있다 [9]. 또한, Connected home부터헬스케어까지우리주변에는 IoT기술을이용한다양한서비스들이있으며 [10], 지속적으로확장이되고있다. 이제 IoT기술은우리일상생활의한부분이되어가고있다고해도과언이아닐것이다. IoT를가속화하는데는다음과같은세가지주요기술이필요하다. 첫번째, chip을보다빠르고, 싸고효율적으로만들어주는반도체기술이다. 이덕분에 micro controller는전자레인지, 식기세척기, 냉장고등의가전제품은물론값싼장난감에서도찾아볼수있다. 두번째는 connectivity로서이를통해서아주작은전기기기도쉽게인터넷에연결할수있다 [11]. 세번째는센서와액튜에이터이다 [12]. 센서는 IoT기기가주변환경을인식할수있게해주었으며, 모터와같은액튜에이터는주변환경에특정한동작을취할수있게해주었다. 특히센서는 Big data의주요 source 중하나이므로, IoT는물론전체시스템상에서도가장중요한부분이다 Summary < 그림 3> 과같이새롭게각광받는서비스는각각필요한기술들이있으며, 이중에서 key가되는기술은인공지능, Big data, 5G 그리고 IoT라고할수있다. 이 러한최신기술들이요구하는시스템특성은기존것들과는차이가있으며, memory나 storage에대한요구사항에도변화가생기고있다. 이는 Datacenter나단말 computing architecture의변화를촉진시키고있으며, 실제혁신적인변화가곧다가오게될것이다. Ⅳ. Impact on Datacenter architecture 4.1. Memory Trend 시장조사기관인 Tractica는최신보고서에서인공지능제품및서비스의세계적인수익은 2016년약 6억4천만불에서, 2025년에는약 370억불에달하게될것으로예상하였다 [13]. 이는약 57배의성장으로, IT분야의어떤다른분야에서도찾아볼수없는규모이다. 또한대부분의인공지능서비스는 Cloud Datacenter를통해서이뤄지고있으며, 인공지능서비스의수준에따라서메모리의용량및 bandwidth요구량이증가하게된다. 물론, 인공지능서비스는인공지능기술만으로가능한게아니며, 앞서나열한 Big data, IoT, 5G등의기술과연계되어야한다. 이제부터는현재의서비스 trend상에서주요 application들을위해서필요한새로운 memory solution에대해서알아보고자한다 High BW(Bandwidth) Memory for AI/ML Accelerator Deep learning에쓰이는 Deep neural network은비교적간단한곱셈과덧셈으로구성되어있으며, 매번의간단한연산시마다, memory에있는 input과 < 그림 3> 새로운서비스와기술들 < 그림 4> Memory hierarchy with High BW Memory 49 전자공학회지 _ 875

56 임의철 weight data 접근을필요로한다. 이에따라서인공지능 algorithm은 memory접근이많이필요한단순병렬 computing이라고할수있다. 따라서, CPU, GPU, 또는구글의 TPU(Tensor Processing Unit) [14] 를포함하는모든인공지능엔진은높은메모리대역폭을필요로한다. 이에따라서, High BW Memory가 Memory hierarchy상에서 CPU cache와 main memory사이에필요하게될것이다. 또한, 뇌신경망의각노드값및노드간의연결가중치값들이모두메모리에저장되어야하므로메모리의용량이뇌신경망의복잡도를결정하게되므로, 추론뿐만아니라학습까지고려하면메모리의용량증가도고려해야한다. 메모리대역폭을늘리고, 용량도증가시키기위해서는여러개의메모리다이를쌓는 TSV(Through Silicon Via) 기술이필요하게된다. 하지만, 더많은메모리다이를쌓을수록 TSV cap loading이증가하게되어서, 속도를높이기어려워지게된다. 따라서, 대역폭과용량증가를동시에달성하는것이첫번째해결해야할문제이다. 만일고대역폭을제공하는데성공했다고해도, 이는필연적으로전력증가를동반하게된다. 이는열문제를일으키고시스템전체성능에도영향을미치게되므로, 두번째로해결해야할것은고대역폭동작시의열문제이다 [15] HCM(High Capacity Memory) on DIMM(Dual In-line Memory Module) 다양한 IoT기기로부터의대량의 data가실시간으로 data center로전송되고있으며, 이런 data의 throughput은 storage가지원할수있는성능을뛰어넘고있다. 따라서, IoT를포함하는각종기기에서생성된미가공 data는실시간처리를위해서 storage가아닌 memory시스템에만 write한후에, 고도로병렬화된 computing engine에의해서짧은시간에처리가되고, 실시간으로다시 IoT기기들에게피드백을하는방식으로동작하게된다. 이렇게모든실시간처리 data를 main memory에저장해야하는 In-Memory Computing을지원하기위해 < 그림 5> Memory hierarchy with HCM on DIMM 서, 이를저장할수있는충분한용량을제공할수있어야한다. 이런 memory solution을여기서는 HCM on DIMM이라고명명하였다. < 그림 5> 에는비록 HCM on DIMM을 Main memory아래 hierarchy로표현하였지만, 이것을 Main memory를대체할수있다면더할나위없이좋은 memory solution이될수있을것이다. 그러나, 이런대용량 DIMM memory를만들기위해서는몇가지극복해야할기술적인문제가있다. 첫번째, 한정된공간에더많은메모리를집적해넣어야한다. 두번째, 용량당비용을낮출수있어야한다. 위두가지문제해결을위해서 DRAM이아닌새로운소자들에대한연구도진행이되고있다. 세번째로해결해야할사항은소비전력이다. 불행하게도 DRAM memory의소비전력은 memory 접근횟수는물론 memory size에비례하고있다. 그래서, 메모리용량을증가시키면서소비전력증가를막는것은쉽지않은일이다 Pooled memory 새로운서비스및이를제공하는신생기업들이성장함에따라서, 보다다양한 application들이 Datacenter에서돌아가고있다. 이때 Computing power나 memory 요구량은각 application의특성에따라서변동되므로, 효율적인 Datacenter 운영을위해서는모든 resource들이최대한 flexible하게할당되고반환될수있어야한다. 이를위해서 computing, network 및 storage자원을동적으로제공해줄수있는 Software-defined infrastructure가적용되고있으며 [16], 인텔의 Rack 876 _ The Magazine of the IEIE 50

57 새로운서비스와메모리아키텍처의변화 새롭게대두되고있는 Storage solution에대해서알아보고자한다. < 그림 6> Memory hierarchy with Pooled Memory Scale Architecture는대표적인구현 architecture중의하나이다 [17]. 여기에는 pooled compute, pooled storage 및 pooled network이제공되며, 각사용자는요구에따라서자원을할당받게된다. 현재는 Pooled memory는포함되어있지않지만, flexibility와 scalability가중요한 Datacenter에는궁극적으로 Pooled memory가필요할것으로보인다. Pooled memory를통해서얻을수있는주요이득은효율적인 memory자원관리이다. 그러나, 이런 Pooled memory는 network을통해서연결되어있기때문에, 각 CPU에직접연결된기존의 memory보다상대적으로긴 latency와낮은 bandwidth를제공하게되므로, 이에의한성능저하를완화시키는방안이필요하다. Pooled memory에도작은폼팩터및낮은동작전력을달성하는기술이필요할것으로보인다. 그리고, 위에소개된다른 memory solution과는달리 Pooled memory device자체보다는이를 Datacenter에서어떻게운용할것인지가중요한기술이될것이다. 또한, Pooled memory 자체에얼마나많은기능을추가할것인지도또따른기술적인 challenge가될것이다 Storage trend Big data 및 IoT기술을근간으로한인공지능서비스에의해서저장해야할 data가폭발적으로증가하고있다 [18]. 이에따라서, 이를저장할 storage 용량요구도증가하고있다. 또한, 현재 SSD기술의발전으로초기기기구입비용과운영비용을함께고려하면이미, SSD가 HDD보다더경제적인 solution이되어가고있다. 이런상황에서 Host Co-operated Storage Flash memory가저장매체로서각광을받고있지만, Flash memory의쓰기 / 지우기특성상, 이를저장매체로사용하는 SSD는한정된수명을가질수밖에없다. 따라서, wear-leveling, page 또는 block mapping 및 overprovisioning등의특별한관리 algorithm등을적용하여실제사용할수있는수준의수명을보장하고있다. 하지만, 만일읽고쓰는 data외에도 Host로부터추가정보를받을수있다면, SSD의수명을늘리거나성능을높일수있는추가적인방안들이있을수있다. 예를들어서, 이미어떤 data가곧다시갱신될것을알고있다면, 해당 data는 flash에직접쓰지않고 buffer등에넣어두었다가, 갱신된 data가들어오면그때최종 data를 flash 에쓰게될것이며, 이렇게함으로써, flash의쓰기횟수를줄여서 SSD의수명을늘릴수있을것이다. 따라서, SSD 의경쟁력을높이기위해서 Host와 SSD간의다양한형태의협동이필요하다. 첫번째방식은 Host가기존에전송하던정보외에추가정보를 SSD에전달하고, SSD가이정보를활용하여 flash를관리하여성능및수명을개선하는것이다. 이런방식의대표적인 solution은 multi-streamed SSD [19] 이며, 이는비슷한갱신수명을갖고있는 data들을동일한 flash block에모아담아서, garbage collection동작을제거하거나줄여서읽기 / 쓰기성능을높이고 SSD 수명을늘린다. 두번째는 SSD controller의 flash관리업무를전부 Host쪽 SW로넘기는것이다 [20]. 이경우에 Host는자신이갖고있는방대한양의정보를이용해서, flash memory 관리를최적화할수있지만, Host는 flash memory의모든특성을알고있어야하며, flash memory로부터의빈번한 interrupt를다받아들일수있어야한다. 각각의방식은장단점을가지고있으나, 변함없는사실은 Host와협동하는 storage시대가오고있다는것이다. Host가모든제어및관리를하거나, 거꾸로 SSD가모든 51 전자공학회지 _ 877

58 임의철 것을맡는극단적인방식은좋은 solution이아닐것으로보인다. Host와 SSD간의최적역할분담방식을찾기위해서 Host SW stack 및 SSD FTL layer전문가들이협력을해야할것으로생각한다 SSD for cold storage 앞서얘기했듯이 data는기하급수적으로증가하게될것이며, 대부분은저장한후에한번정도접근하거나한번도갱신되지않을 cold data일것으로예상하고있다. 이렇게증가하는 cold data를저장하기위한최적의 storage solution이필요하다. Cold data는갱신이자주되지않으므로, flash memory가이를저장하기위한좋은매체가될수있다. Flash memory cell은 SLC(Single Level Cell), MLC(Multi Level Cell), TLC(Triple Level Cell) 및 QLC(Quadruple Level Cell) 등의구조를가진다. 이들은한 cell에얼마나많은 bit을저장할수있는가의차이를갖는다. SLC는 cell당 1bit만을저장하며, 가장빠른쓰기속도및가장큰쓰기횟수를가지고있다. 반면에 QLC는 cell당 4bit까지저장이가능하지만, 이들중에는가장느린쓰기속도및가장적은쓰기횟수를가진다. 전체비용은특정 silicon영역에얼마나많은 bit을저장할수있는가에따라달라지기때문에, QLC가가장작은비용을필요로한다. Cold storage의경우에는갱신이거의일어나지않기때문에 QLC를채용한 SSD도충분한수명을보장할수있다. 이런이유로 QLC flash memory를사용하는 SSD가 cold storage시장에진입할수있을것으로보인다. 또한, 전력및냉각비용을포함한운영비용을낮추는것이중요하므로, SSD의저전력설계도주요한기술이될것이다. Ⅴ. Impact on Mobile Architecture 5.1. Mobile device trend smartphone탄생이후부터, mobile기기의성능및기능에대한요구는 < 그림 7> 과같이지속적으로증가하고있다. 이전에는 mobile기기가 PC수준의성능과기능을 < 그림 7> Mobile device trend 따라갔었지만, 이제는오히려 mobile기기가더욱도전적인성능과기능을이끌게될것으로보인다 [21]. 이렇게기능과성능의요구사항은기하급수적으로증가하는반면, 배터리용량은그래프에서보듯매우낮은속도로증가하고있다 [22]. 그러므로, 이러한 power 차이를메우기위한저전력기술개발이지속적으로필요하다. 열문제측면에서본다면, 이는 power차이보다더심각하다. 열용량은 smartphone두께에크게영향을받는데, 모든 smartphone업체는두께를줄이기위해서노력을하고있다. 이는성능은기하급수적으로증가하는데반해서, 열용량은오히려줄어들고있다는뜻이다. 그래서, 현재대부분의 smartphone은기기의표면온도를내리기위해서 CPU나 GPU의동작속도를제어하는열관리기법들을사용하고있다. 하지만, 비디오레코딩과같은멀티미디어 application들에게동작속도제어는동작이중지되는것을뜻한다. 따라서, 멀티미디어 application 에서의열문제에대한해결은동작속도제어가아니라, 전력자체를낮추어서열원을없애는것이되어야한다 Necessity of Low-power Memory Solutions 모바일초기시대에 SoC가 DRAM보다평균적으로약 5배의전력을소모했다. 하지만, SoC의전력소모를감소시키는속도가 memory보다빨라서, 현재의전력소모비율은약 3:1까지내려가게되었다. 이에따라, 전체에서 DRAM이소모하는전력의비중이커지게되었다. 만일전력감소속도가현재추세와같다고가정한다면, 머지않은미래에는 DRAM이전력소모비율이 30% 까지올라갈수있다. SOC에비해서 memory의기능이단순한것 878 _ The Magazine of the IEIE 52

59 새로운서비스와메모리아키텍처의변화 bandwidth를필요로하는 Deep learning의추론엔진에도사용가능할것으로보인다. Ⅵ. Conclusion < 그림 8> Mobile memory hierarchy with Low power High BW memory 을감안하면상당히높은비중이라고볼수있다. 결국열문제를완화시키기위해서는 SOC뿐만아니라, DRAM memory의전력소모를더욱공격적으로줄여야한다. 또한, 현재대부분의인공지능서비스는서버에기반을두고있으나, 이는천재지변이나기타문제에따른 network상태에따라서서비스가불가능해질수도있다. 따라서, network 상태에상관없이실시간답변이필요한서비스의경우에는 mobile기기내에서처리가가능해야한다. 그리고, 얼굴인식등을위해서현재촬영중인영상등을서버로보내는경우에는사생활침해문제도발생할수있으므로, 프라이버시가필요한 data도 mobile기기에서처리하는것이필요하다. 또한, 미가공된 data를모두서버에보내면서버와 network에과부하가생길수도있기때문에, 일부처리는 mobile기기에서수행하도록해야할것이다. 이에따라서, mobile 기기에서보다많은인공지능관련 data처리를하게될것이며, 이에따라, memory에서소모하는전력의비중이더욱커질것이다 Low power High BW Memory 멀티미디어 application에서가장중요한지표는 memory bandwidth이다. 멀티미디어 application이필요로하는용량이상의 memory 용량이제공되어도멀티미디어성능을향상시켜주지는못한다. 따라서, 용량은작지만, 매우낮은소모전력으로높은 bandwidth를제공할수있는 Low power High BW Memory가필요하다. 그리고, 이런 memory solution은멀티미디어 application뿐만아니라, 상대적으로작은용량으로높은 새로운서비스와이를위해필요한신기술들이쏟아지고있으며, 이를지원하기위해서 Computing platform 은상당한수준의변화를필요로하고있다. 이는 Computing core 구조에는물론, memory system에도큰영향을미치고있다. 따라서, 새로운 memory system 요구사항을만족시키기위한새로운 memory solution이필요하게되었다. 여기에서는몇개의 memory solution 예를보여주었으며, 이각각의 memory solution은실제사용되는시스템및서비스에따라서적절히조합되어서적용이될것이다. 물론, 제시된 memory solution이전부는아닐것이지만, 사용처에따라서새로운 memory hierarchy가생기게될것이라는것은분명해보인다. 비록오랫동안 memory hierarchy는큰변화없이유지되어왔으나, 지금은그변화가시작되는시기가될것으로보인다. 새로운기회를잡고, 동시에현재엄청난수익을내고있는시장을잃지않기위해서는이런새로운변화에대한준비를해야할것이다. 참고문헌 [1] P. Imrie, P. Bednar, Virtual Personal Assistant, It AIS 2013, 2013년 12월 [2] C. Ramos, J. C. Augusto, D Shapiro, Ambient Intelligence the Next Step for Artificial Intelligence, IEEE Intelligent Systems, 15~18pp, 2008년 4월 [3] 박형근수석연구원 ( 산업연구센터 ), 자율주행자동차를둘러싼논란 : 긍정적효과 vs. 뛰어넘어야할허들, POSRI 이슈리포트, 2016년 8월 [4] Technology and Computing Requirements for Self-Driving Cars, Intel. [5] Michael Copeland, What s the Difference Between Artificial Intelligence, Machine Learning, and Deep Learning?, Nvidia, 53 전자공학회지 _ 879

60 임의철 년 7월 [6] Yann LeCun, Yoshua Bengio and Geoffrey Hinton, Deep learning, Nature 521, 436~444pp, 2015년 5월 28일 [7] Manyika et al, Big data: The next frontier for innovation, competition, and productivity, Report in Mckinsey Global Institute, 2011년 5월 [8] [9] Darrell M. West, How 5G technology enables the health internet of things, Center for Technology Innovation at BROOKINGS, 2016년 7월 [10] Connected Home, connected-home/ [11] Connectivity of the Internet of Things, sparkfun.com/tutorials/connectivity-of-the-internet-of-things [12] Kayla Little, IoT Systems: Sensors and Actuators, dzone.com/articles/iot-systems-sensors-and-actuators, 2017년 6월 [13] Artificial Intelligence Revenue to Reach $36.8 Billion Worldwide by 2025, Tractica Report, 2016년 8월 [14] Norman P. Jouppi et al, In-Datacenter Performance Analysis of a Tensor Processing Unit, ISCA 2017, 2017년 6월 [15] Joonyoung Kim, Younsu Kim, HBM: Memory solution for bandwidth-hungry processors, Hot Chips 26 Symposium 2014년 [16] 2017 Strategic Roadmap For Compute Infrastructure, Gartner, 2017년 [17] Rack Scale Architecture Overview for EMC World, Intel, 2015년 [18] Cisco Global Cloud Index: Forecast and Methodology, , Cisco, 2016년 [19] Changho Choi, et al. Multi-Stream Write SSD, Flash Memory Summit, 2016년 [20] Matias Bjørling, Jesper Madsen, Javier Gonzales, Philippe Bonnet. Linux Kernel Abstractions for Open-Channel Solid State Drives. Non-Volatile Memories Workshop. 2015년 [21] Jillian Zacchia. Mobile vs Desktop : The Smatphone Movement, 년 6 월 [22] Leigh Christie. Is it true that battery energy density improves 5-8% per year?, 2013 년 임의철 1993 년 2 월연세대학교전자공학과학사졸업 1995 년 2 월연세대학교전자공학과석사졸업 2006 년 2 월성균관대학교전자전기공학과박사졸업 1995 년 3 월 ~2001 년 2 월삼성전자 S.LSI 사업부주임 / 선임연구원 2001 년 3 월 ~2009 년 2 월삼성전자 S.LSI 사업부 SoC 개발실책임연구원 2009 년 3 월 ~2016 년 2 월삼성전자 S.LSI 사업부 SoC 개발실 SoC Architecture 팀수석연구원 년 1 월 ~ 현재 SK 하이닉스메모리시스템연구소 System Architecture 팀연구위원 < 관심분야 > Memory & storage system architecture with new memory, Memory system architecture for machine learning, Processing in Memory & Near data processing 880 _ The Magazine of the IEIE 54

61 특집 고성능 BCI(Brain Computer Interface) 를위한 SoC 설계기술 고성능 BCI(Brain Computer Interface) 를 위한 SoC 설계기술 Ⅰ. 서론 심훈기충남대학교전자공학과박정규서울과학기술대학교전기정보공학과김도균서울과학기술대학교전기정보공학과김지훈서울과학기술대학교전기정보공학과 생각만으로사물을조종하는시대가점점눈앞으로다가오고있다. 지금껏사람들은레버를움직이고버튼눌러기계를동작시키고, 마우스와키보드를통해컴퓨터를조작하고, 또한음성혹은동작인식과같은기술들을통해서사물을제어해왔다. 즉손과발또는여타몸의일부를사용해야했다. 하지만이제는 BCI(Brain Computer Interface) 기술로인해생각만으로도뇌신호를컴퓨터로전달하여기계를제어할수있게되었다. BCI 기술은 BMI(Brain Machine Interface) 로불리기도하며이미뉴스나영화에서여러번소개되었으며최근테슬라의엘론머스크와페이스북의마크주커버그가관련연구를진행하겠다고발표함으로써더욱유명해졌다. 해외에서는이미 2002년 New York Times 21세기 8 대신기술, 2008년미국공학한림원에서 BCI를 온인류의삶의질향상을위해해결되어야할 21세기의 14가지공학적도전과제, 2011년 MIT 테크놀로지리뷰의 10대차세대기술, 2014년세계경제포럼 10대유망기술등다수의연구기관에서유망기술로선정하면서엄청난관심을보이고있다. 앞서말한것처럼테슬라의엘런머스크도 BCI 기술에관심을보여 Neuralink 란회사를세웠고아직은완치가불가능하다고판단되고있는간질, 우울증, 알츠하이머등뇌질환으로인한치명적질병의예방과치료, 지능을발전시켜기계가인간의지능을추월해발생하게될 `AI의부작용`을막는것을목적으로사람의뇌에이식해사람의생각을업로드하거나다운로드할수있는인공지능칩인 neural lace 란제품을만들계획을세우고있다. 페이스북은혁신제품개발프로젝트를맡고있는연구팀 building 8 에서뇌를이용해컴퓨터에문자를입력할수있는시스템을개발하고있다고발표했다. 55 전자공학회지 _ 881

62 심훈기, 박정규, 김도균, 김지훈 < 그림 1> BCI 시스템의개념과 BCI 시스템사람의뇌파를분석해여러떠오르는단어중에서말하고자하는단어를선택하는뇌파를잡아내는하드웨어와소프트웨어로구현하고자하는것이다. 국내에서도 2009년한국과학기술기획평가원 (KISTEP), 2012년한국과학기술정보연구원 (KISTI) 10대유망기술로선정하여중요성을인식하고있다. 삼성전자에서는 2013년미국댈러스소재텍사스대와공동으로뇌파만으로갤럭시노트10.1 을조작하는실험에성공하였다. 또한국내몇몇기업에서뇌파측정장비를자체개발해연구자및일반인에게서비스를제공하고있다. 국내벤처기업중한곳에서는 BCI기술을뇌기능이상에대한진단및치료기술에접목하여알츠하이머의진행속도를늦추는제품과우울증치료보조기기를출시하여국내외로관심을받고있다. 또다른국내스타트업기업에서는개발중인눈의움직임과뇌파정보를활용해개인의인지상태를분석하여사지마비환자의의사소통을돕거나 VR(Virtual Reality) 기술에사용될수있는헤드셋장비를 CES2016에선보였고스타트업전문글로벌매체 Gadgets 360에서선정한획기적인아이디어를보유한 10개의스타트업에포함되는성과를냈다. BCI의연구는 1924년 Hans Berger가두뇌의뉴런이활동함으로써생기는전자기파신호 (EEG, Electroencephalography) 를발견하고측정방법을개발한이후 1973년 UCLA의 Jacques Vidal이 EEG 신호에서뇌활동에담겨있는의도나상태를컴퓨터에전달함으로써뇌와컴퓨터간의통신이가능하다는개념을도입함으로써등장했다 [1]. 개발초기사람의생활의불편함을도와주는보철장치의역할을할수있을것으로인식되어주로루게릭, 척수손상, 뇌성마비등의중증신체장애인을위한장애극복목적으로연구가시작된 BCI 는 < 그림 1> 에서보이는것과같은생각만으로로봇팔이나휠체어를움직이거나원하는글자를입력할수있는워드프로세서등의신체기능을대체하거나의사소통을할수있는시스템에적용되었다. 이후로 Chiao Tung University에서 BCI를이용하여운전자의졸음을감지하는시스템을 wearable 형태로개발 [2] 한것을발표, Graz University of Technology에서는 BCI를이용해 world of warcraft 게임을플레이한결과를발표 [3] 한것과같이여러분야의관심증대와기술의발달로인해헬스케어, 재활치료, 엔터테인먼트, 교육, 예술, 가상현실등에서의응용이연구되고있다. 우리가 BCI 시스템을통해서이루고자하는것은신체기능보조및대체, 편의성제공, 엔터테인먼트, 정보및지식전달교류, 감정및심리상태측정으로정리할수있다. BCI 시스템이이와같은역할을수행하기위해서는뇌의신호를통해서사람의의도를잘파악할뿐만아니라편리하게사용할수있어야한다. 먼저개인마다다른뇌생체신호를분석하기위해서는시스템이 programmable, flexible, configurable 해야하며또한기계학습기능까지갖추고있으면더욱좋다. 또한편리하게사용하기위해서휴대성을갖추어야한다. 휴대성을위해서는소형화되어야하며무선통신기능을갖추고저전력동작을해야한다. 이모든것을만족하기위해서 BCI 시스템에대한 SoC 연구가많이진행되고있다. Ⅱ. BCI 시스템구성 BCI 기술은크게뇌의신호를측정하는기술, 뇌신호를처리하는기술, 처리한신호를기반으로기계를제어하는기술로나눌수있다. 먼저뇌에서얻을수있는있는신호는 < 그림 2> 와같이나뉘고, < 그림 3> 과같이두개골을기준으로뇌에침습적, 비침습적인방법으로접근을해야한다. 침습적접근이란, 두개골안쪽의뇌피질부분에직접적인접근을하여신호를취득하는방법인데, 이러한방법으로얻어진신호는대뇌피질침습여부에따라 ECoG (Electrocorticogram), single cell recording 으로나눌수있다. 비침습적신호는측 882 _ The Magazine of the IEIE 56

63 고성능 BCI(Brain Computer Interface) 를위한 SoC 설계기술 < 그림 4> 일반적인 BCI 시스템구조 < 그림 2> 뇌에서얻을수있는다양한종류의신호 < 그림 3> 침습정도에따라얻을수있는뇌파정방법에따라분류를할수있는데, 대뇌피질에서일어나는신경세포의활동에의한전자기파를측정하는기술인 EEG, 근적외선분관신호로인지기능을측정하는 NIRS(Near Infrared Spectroscopy), 초전도양자간섭장치를두피에균등하게배열시켜측정하는 MEG (Magnetoencephalogram), 혈중산소농도차이에서발생하는자기장의변화를측정하는 fmri(functional Magnetic Resonance Imaging) 등으로나눌수있다. 이러한신호들중에 EEG 신호는다른신호에비해해상도가높고기기의규모, 가격휴대성면에서타기기들에비해실시간 BCI 시스템을구현하기에가장좋은조건을갖고있어, 많은연구에활용되고있다. 이렇게측정된신호는각각에목적에맞도록 < 그림 4> 와같이신호처리과정을거쳐야한다. 특히측정된 EEG 신호는 ocular artifacts, 근육잡음, 전기적잡음등여러가지 artifacts들을포함하고있으며, 외부잡음에또한상당히민감한특성을가지고있다. 이러한 artifacts와노이즈를제거하기위해서전처리과정을거쳐야한다. 전처리과정에는 PCA(Principal Component Analysis), SVM(Support Vector Machine), ICA (Independent Component Analysis), DWT(Discrete Wavelet Transform) 등을사용해 BSS(Blind Source Separation), spatial filtering, temporal filtering 에사용된다. 뇌파신호는전처리과정을거친후, feature extraction 과정을거치게되는데이때 hybrid BSS-SVM, SOM(Self Organizing maps) 등이사용된다. 다중센서로부터의신호를처리할때는 PCA 또는 ICA가사용되며, 그외에도 TF(Time-Frequency) 와 LDA(Linear Discriminant Analysis) 의조합기술이사용되기도한다. Feature extraction 과정을거친후, classifier 단계를거치게되는데, BCI 시스템에따라 linear classifiers, neural networks, non-linear bayesian classifier, nearest neighbor classifier, combinations of classifiers로분류가된다. Linear classifiers는선형함수를사용하는데, LDA, FLDA(Fisher s LDA) 및 SVM이가장보편적인기술들이다. Neural networks는 BCI 시스템에서가장많이사용되는데, EEG와같은신호의잡음에민감한신호를트레이닝하는 MLP(Multilayered Perception) 가보편적이다. 또한, gaussian 분류기, LVQ(Learning Vector Quantization) neural network, FIRNN(Finite Impulse Response Neural Network), 과같은다이나믹뉴럴네트워크가있으며, BLRNN(Bayesian logicstic Regression Neural network), ALN(Adaptive Logic Network), PeGNC(Probability estimating guarded Neural Classifier) 등이있다. 이밖에도, nonlinear bayesian classifiers에는 bayes quadratic, HMM(Hidden Markov Model), nearest neighbors classifier에는 knn(k Nearest Neighbors), 등이있다. 앞서설명된뇌신호의측정방법과신호처리기술은사용하고자하는응용분야에따라가장적합한것으로선택되고, 이과정을통해얻어진뇌의의도를통해보철장치를움직이거나컴퓨터를제어하는데활용된다. 과거의 BCI 시스템은주로측정한신호를 PC등의큰시스템으로 57 전자공학회지 _ 883

64 심훈기, 박정규, 김도균, 김지훈 옮겨신호를처리제어하는알고리즘을수행하였다. 하지만앞으로는시스템사용의편리함을위한휴대성을위해서신호취득과처리, 그리고무선통신까지할수있는 BCI SoC가요구된다. 다음장에서는몇가지연구결과를통해서 BCI SoC와응용에서사용하는뇌생체신호기술과구현방법에대해살펴본다. Ⅲ. BCI SoC 를위한신호처리기술과구현방법 1. EEG pre-processing을위한 ICA 프로세서 BCI 시스템에서가장대표적으로사용되는 EEG 신호를처리하기위해서사용하는여러가지기법들이있는데 ICA가대표적이다 ICA는 multivariate 신호를통계적으로독립성분들로분리하는방법으로, 여러 artifacts 신호와같은노이즈와함께섞여있는원하는신호를분리해내는 blind source separation 방법으로사용된다. 이는깨끗한 EEG 신호를얻어내는데매우효과적이다. 최근 Chiao Tung University에서실시간 EEG 신호처리시스템이가진노이즈에취약하다는문제점을해결한 ICA 프로세서 < 그림 5> 를제안한연구결과를발표했다. 일반적인 ICA는연산량이많기때문에실시간처리에는적합하지않다. 그러므로해당연구에서는기존의 ICA에서변형된형태인 ORICA(On-line Recursive ICA) 를사 용한다. ORICA는기존의 ICA 연산보다 convergence 확률이높고, 연산속도가빠르기때문이다 [4-5]. 하지만여전히 ORICA 연산과정중 diagonal inverse matrix 를구해야하는복잡한연산이남아있다. 이문제를해결하기위해고유값분해 (Eigenvalue Decomposition) 처럼행렬을분해하는한방법인 SVD(Singular Value Decomposition) 를사용하였다. SVD는 inverse matrix 를연산하는데매우효과적인방법으로증명된방법이며, < 그림 6> 의형태로 CORDIC(Coordinate Rotation Digital Computer) 알고리즘을적용하여면적과전력소모를줄이는결과를얻었다. 그리고추가적으로프로세서의효율과하드웨어의복잡도를낮추기위해 deeper pipeline 구조와 shared arithmetic processing unit 그리고 shared register를사용했다. 이연구에서는 EEG 신호를처리하기위해 ORICA, CORDIC을적용한 SVD를사용하고, 기타하드웨어복잡도를낮추는기술을사용함으로써충분한성능을가지며실시간처리가능하도록하였다. < 그림 7> 에서볼수있듯이, TSMC 40nm공정을이용해 ASIC화했을때소 < 그림 6> SVD 프로세서의하드웨어구조 [5] < 그림 5> ORICA 와 SVD 가포함된 EEG 시스템의하드웨어구조 [4] < 그림 7> EEG 시스템의 layou t[4] 884 _ The Magazine of the IEIE 58

65 고성능 BCI(Brain Computer Interface) 를위한 SoC 설계기술 모되는전력은 15.72mW로저전력이고, output delay가 sec 정도로실시간처리가가능하다는것을볼수있다. 2. 간질환자의발작감지를위한프로세서 Biomedical 신호를처리하기위해서는많은문제점들이따른다. 그중첫번째문제는수많은생리적변화가있는상태에서특정상태를감지해야한다는것이다. 예를들어 Seizure 신호는수면과관련된 EEG 신호와유사하게생겼기때문에물리적파형을적절하게모델링하여서로를구별해야한다. 그리고두번째문제는신호가각환자마다다르게나타난다는것이다. 따라서이경우에는환자마다맞춤형모델이필요하다. 마지막문제는환자의신호가어떠한사건이일어나고, 시간이지남에따라서변한다는것이다. 이러한복잡한문제들을해결하기위해서 Princeton University에서는 EEG 데이터의 feature 를뽑아내고, 이를 classification하여 training 할수있는 machine learning을적용한시스템을 < 그림 8> 와같이제시하고있다 [6]. < 그림 8> Seizure detection 을위한시스템 [6] < 그림 10> 두신호를 classification 하는예 [6] 또한해당연구팀은낮은에너지소모와실시간 biomedical 신호처리를위한 embedded machine learning 프로세서를제안하고있다. 해당연구팀이제안하는프로세서는 < 그림 9> 와같이저전력 CPU 코어, SVM(Support Vector Machine) accelerator 등이포함된 SoC를제안하고있다해당 SoC에서사용되고있는 SVM이란기계학습의분야중하나로패턴인식, 자료분석에사용이되는 supervised learning의모델이다. SVM은어떤두카테고리중어느하나에속한데이터집합을바탕으로새로운데이터에대해어느카테고리에속할지분류해주는 binary classifier이다. PCA(Principal Component Analysis) 를사용하면 < 그림 10> 와같이 EEG 신호를표현할수있는데, 여기서 SVM을이용하면 seizure vector 와 nonseizure vector의 decision boundary를구할수있고이를통해 seizure detection을할수있다. 해당연구팀은 clock당사용에너지가총 107.1pJ으로에너지효율이좋은시스템을발표했다. < 그림 9> EEG 신호분석을위한 Machine learning accelerator 의하드웨어구조 [6] 3. 인공팔제어를위한기계학습프로세서마비환자들을위한신경보철장치에 BCI 시스템이사용되는것은이제는일반적이게되었다. BCI 시스템이적용된 BCI 시스템은몸에이식되어있는미세전극배열 (MEA, Micro Electrode Array) 으로부터 neural signal 을취득하여 host PC로전송해처리하고신경보철장치를조종하는방식으로동작한다. 하지만몸에이식되어사용 59 전자공학회지 _ 885

66 심훈기, 박정규, 김도균, 김지훈 < 그림 13> MLCP chip과 MLCP를이용해구성한 PEU(portable < 그림 11> MLCP를추가한 BCI 시스템 [7] external unit) 시스템 [7] 신호처리와다르게 quantization error, quantization noise가추가되지않으며신호에대해 aliasing이일어나지않기때문에처리속도가빠르고, 저전력으로동작할수있다. 이논문에서제안하는 MLCP를이용해 < 그림 13> 와같이 PEU(portable external unit) 환경을구축하여 neural signal decoding한결과실시간처리에충분한성능과 414nW의저전력동작을한다고발표했다. < 그림 12> MLCP의하드웨어구조 [7] 되는기기에서 host PC로 neural signal을보낼때많은전력을소모하기때문에장시간사용하기에는실용적이지못하다. 따라서몸에이식되어사용되는 BCI 시스템을위해서는무선데이터전송량을줄이고, neural signal recording과 decoding을 SoC화하는것이필요하다. Nan-yang Technological University에서는기존의 BCI 시스템의문제점을해결하기위한연구를진행하고있다 [7]. 해당연구에서는 < 그림 11> 와같이기존 host PC 에서기계학습을통해처리하던작업을이식된장치에서처리할수있도록하기위해 MLCP(Machine Learning Co-Processor) 를제안했다. MLCP는 < 그림 12> 의그림과같이 DSP를통해데이터를전달받아아날로그신호처리를하는 coprocessor로써빠른데이터처리속도와저전력동작을위해데이터병렬처리와 sub-threshold analog processing을통해동작하도록구성되어있다. 아날로그신호처리는디지털 4. Spike sorting 프로세서 Spike sorting 기술은뉴런들의 local field potential 을측정하는 EEG 신호와는다르게각각의뉴런에서생기는 single unit activity를사용한다. Single unit activity는신호의변화를보다세밀하게제공하므로, BCI를위한 neuroscience 연구에중요한역할을한다. 기본적인 spike sorting은 < 그림 14> 와같이진행된다. 침습적인방법을통해서뉴런에서취득해낸뇌파신호는대역통과필터를거친후에신호의 spike를검출하는작업을거친다. 검출된 spike들은일정기준에따라정렬시키는데, 이때각 spike 신호는다른모양을형성한다는것을알수있다. 이런 spike의특징을고려하여 feature extraction 작업을통해따로추출한다. 추출후, 필요하지않는 feature를제거하며 dimensionality reduction 을진행하게된다. 마지막으로 feature coefficients에따라분류를하게되는데, 이것을 clustering이라고한다. Spike detection 에서는 NEO(Nonlinear Energy Operator), SWTP(Stationary Wavelet Transform Product) 와같은방법들이, feature extraction에서 886 _ The Magazine of the IEIE 60

67 고성능 BCI(Brain Computer Interface) 를위한 SoC 설계기술 IAD (Interpolation Alignment Down-sampling) 하드웨어또한설계하여정확도향상에기여를하게하였다. < 그림 14> Spike sorting 처리과정 [9] Ⅳ. 결론 < 그림 15> 8ch x 16의병렬폴딩방식의 spike detection 하드웨어구조 [10] 는 DWT, PCA, DD(Discrete Derivative), IT(Integral Transform) 와같은방법들이, dimensionality reduction 에서는 uniform, maximum difference, Hartigan s test, Lilliefors test 과같은방법을통해해결할수있다. 또한, clustering에도 k-means clustering, valley-seeking clustering 등여러가지방법들이있다. 뇌파는사람마다특성이다르고, 시변 (time-variant) 시스템이기때문에어떤알고리즘이 spike sorting 에가장적합하다고이야기할수는없다. 따라서 programmable하고 flexible 한하드웨어설계가필요하게된다. 2014년 National Taiwan University에서는 spike sorting processor를발표하였다 [8]. NEO 방식의 spike detection을선택하여그에따른알고리즘을하드웨어로구현한내용이다. 여기서, spike sorting의성능을높이기위해서는 spike detection에들어오는데이터채널의개수가많을수록좋지만, 채널을늘리게되면, 많은전력소모를초래하고면적부담도증가하게되어실제프로세서를설계하기위해서는이에대한대책이있어야한다. 해당연구에서는 < 그림 15> 처럼 16-to-1 arbiter를통해기존의 128채널을 8채널-16폴딩의병렬폴딩방식의 spike detection 하드웨어를설계하였다. 그결과칩의면적에대한부담을줄이면서도, 낮은전력밀도를갖는결과를얻었다. 또한해당연구에서는 alignment 전에샘플링주파수를 12.5kHz로재구성하는 BCI 기술은우리가꿈꾸는미래를열어줄수있는핵심기술로서평가받으며많은관심을받고있고, 연구되고있다. 하지만아직우리의삶에가까이오기에는뇌로부터충분한정보를받아오지못하고있고, 생체신호를완벽히분석해내지못하고있으며, BCI 시스템의안정성과간소화및경량화를이루지못하였다. 또한사용하는데긴준비시간과훈련시간을가져야하는등의분명한한계를가지고있다. 이러한문제들을해결하기위해서는뇌공학, 인지과학, 의공학, 전자공학등의다양한분야에서의연구가집약된 SoC 시스템들이등장해야한다. 감사의글 이논문은 2015년도정부 ( 교육부 ) 의재원으로한국연구재단의기초연구사업지원을받아수행된것임 ( 과제번호 NRF-2015R1D1A1A ) 참고문헌 [1] J. J. Vidal, Toward Direct Brain-Computer Communication, Annu Rev Biophys Bioeng, 2 (1973), pp [2] C. T. Lin, C. J. Chang, B. S. Lin, S.H Hung, C. F. Chao, I. J. Wang, A Real-Time Wireless Brain-Computer Interface System for Drowsiness Detection, IEEE Transactions on Biomedical Circuits and Systems, vol. 4, no. 4, august 20 [3] Scherer R. et al. (2011) Non-invasive Brain-Computer Interfaces: Enhanced Gaming and Robotic Control. In: Cabestany J., Rojas I., Joya G. (eds) Advances in Computational Intelligence. IWANN Lecture Notes in Computer Science, vol Springer, Berlin, Heidelberg [4] W-.C. Fang et al, An Efficient ASIC Implementation of 16-channel On-line Recursive ICA Processor for Real-time EEG System, 2014, EMBC 61 전자공학회지 _ 887

68 심훈기, 박정규, 김도균, 김지훈 [5] W-.C. Fang et al, An Efficient VLSI Implementation of SVD Processor of On-line Recursive ICA for Real-time EEG System, 2014, BioCAS [6] K. H. Lee et al, A Low-Power Processor With Configurable Embedded Machine-Learning Accelerators for High-Order and Adaptive Analysis of Medical-Sensor Signals, 2013, JSSC [7] Y. Chen et al, A 128-Channel Extreme Learning Machine- Based Neural Decoder for Brain Machine Interfaces, 2016, BioCAS [8] T.-C. Ma, T.-C. Chen, and L.-G. Chen, Design and implementation of a low power spike detection processor for 128-channel spike sorting microsystem, in 2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). IEEE, 2014, pp [9] Gibson, Sarah, Jack W. Judy, and Dejan Markovic. Spike sorting: The first step in decoding the brain: The first step in decoding the brain. IEEE Signal processing magazine 29.1 (2012): [10] Chen, Tung-Chien, Wentai Liu, and Liang-Gee Chen. 128-channel spike sorting processor with a parallel-folding structure in 90nm process. Circuits and Systems, ISCAS IEEE International Symposium on. IEEE, 박정규 2017 년 2 월서울과학기술대학교전자 IT 미디어공학과학사 2017 년 3 월 ~ 현재서울과학기술대학교전기정보공학과석사과정 < 관심분야 > Biomedical System, Signal Processing 김도균 2017 년 2 월충남대학교전자공학과학사 2017 년 3 월 ~ 현재서울과학기술대학교전기정보공학과석사과정 < 관심분야 > VLSI for Biomedical System, SoC Architecture 김지훈 심훈기 2015 년 2 월충남대학교전자공학과학사 2015 년 3 월 ~ 현재충남대학교전자전파정보통신공학과석사과정 < 관심분야 > SoC (System-on-Chip), 저전력집적회로, Security/ Biomedical System 2004 년 2 월 KAIST 전자전산학과학사 2009 년 8 월 KAIST 전기및전자공학과박사 2009 년 7 월 ~2010 년 2 월삼성전자 DMC 연구소책임연구원 2010 년 2 월 ~2016 년 2 월충남대학교전자공학과부교수 2016 년 2 월 ~ 현재서울과학기술대학교전기정보공학과부교수 < 관심분야 > SoC (System-on-Chip), 프로세서설계, Security / Biomedical System 888 _ The Magazine of the IEIE 62

69 The Institute of Electronics and Information Engineers 논문지논문목차 전자공학회논문지제 54 권 11 호발행 통신분야 [ 통신 ] 다중입력및출력시스템을위한최대우도검출 : 메트릭궤환검출임형용, 박기홍, 윤동원 CoAP 을이용한사물인터넷이동성관리구조설계및구현천승만, 함창균, 박종태 [ 군사전자 ] 다중무인기조종통제를위한데이터처리구조에관한연구유인덕, 황찬호, 이우신, 진소연, 김영돈 공용데이터링크시스템에서딥러닝기반적응형전력제어기법진소연, 이우신, 김영돈, 이대홍, 정성진 반도체분야 [SoC 설계 ] HEVC 부호화기를위한히스토리기반의고속 CU 깊이결정알고리즘배종현, 김영호, 김태선, 선우명훈 지연선로위상주파수탐지기방식의순수디지털 CDR 설계이찬호 3D-HEVC 를위한고성능 DMM1 회로의병렬구조김래한, 조경순 [RF 집적회로기술 ] Quadrature Generator 를사용하는 Bluetooth Low Energy 용저전력 2.4 GHz Local Oscillator 버퍼김신영, 권구덕 [2017 년 SoC 학술대회 ( 우수논문 )] 저면적고효율 MAC unit 설계이진영, 이세영, 홍덕기, 박우찬 SoC 가상화플랫폼을이용한 SoC 구조개발및검증방법이형로, 김원종, 조한진, 인치호 Soft-Start 회로를사용한낮은출력첨두치전압과높은부하전류구동범위를갖는 Low-dropout Regulator 천지현, 김성진, 이동수, 이강윤 5 세대이동통신시스템을위한 LC 구조전압제어발진기와광대역 4 분주기 ILFD 의설계김보라, 문용 63 전자공학회지 _ 889

70 논문지논문목차 [ 멀티미디어 ] JPEG 압축포렌식검출이강현 컴퓨터분야 [ 융합컴퓨팅 ] 클라우드컴퓨팅환경에서가상머신의자원요구량추정기반의자원분배기법김영우, 노재춘 [ 영상신호처리 ] Adaptive Macro-scopic Pattern 을이용한나이인식강승훈, 류병용, 송기훈, 채옥삼 종합적정합방법을이용한광량변화에강건한스레테오정합이대성, 이상근 신호처리분야 영상화질향상과영상해상도향상의실시간구현을위한효율적인기법김진호, 이창우 강인한포즈예측을위한하이브리드구조기반의온라인학습기법채승호, 양윤식, 한탁돈 [ 음향및신호처리 ] 대역확산신호를위한희박신호재생기반의초분해능지연시간추정알고리즘박형래 [ 제어계측 ] CIE1931xy 색도도를이용한 LED 조명의색온도와파장이주연, 김대순, 함운철 시스템및제어분야 [ 회로및시스템 ] 동적운전시격방식열차제어의효율향상을위한전송시간요건분석김정태 PZT 구동오차저감을위한제어보드설계오현우, 김복기 [ 자동차전자기술 ] 블루투스동글과아두이노를이용한차량데이타수집장치의구현안경문, 김희식 산업전자분야 [ 통신및초고주파 ] 단거리통신용플라스틱광섬유 (POF) 광커플러설계및제작연구김경복 890 _ The Magazine of the IEIE 64

71 The Magazine of the IEIE 국 내외에서개최되는각종학술대회 / 전시회를소개합니다. 게재를희망하시는분은간략한학술대회정보를이메일로보내주시면게재하겠습니다. 연락처 : edit@theieie.org 2017 년 12 월 일자학술대회명개최장소홈페이지 / 연락처 IEEE 9th International Conference on Humanoid, Nanotechnology, Information Technology, Communication and Control, Environment, and Management (HNICEM ) Hotel Jen, Manila, Philippines hnicem.com/hnicem-2017/ International Conference on Soft Computing and its Engineering Applications (icsoftcomp) CMPICA, Charotar University of Science and Technology, Changa, India Winter Simulation Conference (WSC) Red Rock Resort, Las Vegas, NV, USA IEEE Innovative Smart Grid Technologies - Asia (ISGT-Asia) Science Conference Centre, University of Auckland, Auckland, New Zealand sites.ieee.org/isgt-asia-2017/ GLOBECOM IEEE Global Communications Conference Marina Sands Bay Convention Center, Singapore International Renewable and Sustainable Energy Conference (IRSEC) Farah Hotel, Tangier, Morocco med-space.org/irsec17/ IEEE Southern Power Electronics Conference (SPEC) Hotel Patagónico, Puerto Varas, Chile IEEE International Electron Devices Meeting (IEDM) Eleventh International Conference on Sensing Technology (ICST) 2017 IEEE Conference on Antenna Measurements & Applications (CAMA) Hilton San Francisco Union Square, San Francisco, CA, USA Macquarie University, Sydney, Australia AIST Tsukuba Central 1, Auditorium, Ibaraki, Japan ewh.ieee.org/r10/tokyo/aps/cama2017/ Eighth International Conference on Intelligent Computing and Information Systems (ICICIS) Ain Shams University Guest House, Cairo, Egypt net2.asu.edu.eg/icicis/2017/ th International Electric Drives Production Conference (EDPC) Convention Center Nuremberg, Nuremberg, Germany th IEEE International Conference on Electronics, Circuits and Systems (ICECS) Hilton Batumi, Georgia icecs2017.org/ nd International Conference on the Applications of Information Technology in Developing Renewable Energy Processes & Systems (IT-DREPS) University of Petra, Amman, Jordan IEEE 19th Electronics Packaging Technology Conference (EPTC) Grand Copthorne Waterfront Hotel, Singapore rd International Conference on Electrical Information and Communication Technology (EICT) Khulna University of Engineering & Technology, Khulna, Bangladesh International Conference on Intelligent Sustainable Systems (ICISS) SCAD Institute of Technology, Palladam, India icoiss.com/index.php International Workshop on Complex Systems and Networks (IWCSN) Student Center at Education City, Doha, Qatar IEEE International Conference on Industrial Engineering and Engineering Management (IEEM) Suntec Singapore Convention & Exhibition Centre, Singapore rd Asia-Pacific Conference on Communications (APCC) TBD, Perth, Australia 65 전자공학회지 _ 891

72 일자학술대회명개최장소홈페이지 / 연락처 IEEE MTT-S International Microwave and RF Conference (IMaRC) Hotel Hyatt Regency, Ahmedabad, India World Congress on Internet Security (WorldCIS) University of Cambridge, United Kingdom IEEE International Conference on Cloud Computing Technology and Science (CloudCom) Hotel ICON, Hong Kong 2017.cloudcom.org/ IEEE International Conference on Big Data (Big Data) The Westin Copley Place, Boston, MA, USA cci.drexel.edu/bigdata/bigdata2017/ IEEE 56th Annual Conference on Decision and Control (CDC) Melbourne Convention Centre, Melbourne, Australia cdc2017.ieeecss.org/ IEEE 12th International Conference on Power Electronics and Drive Systems (PEDS) Hawaii Convention Center, Honolulu, HI, USA IEEE 6th International Conference on Teaching Assessment, and Learning for Engineering (TALE) TBD, Hong Kong tale-conference.org/ Saudi Arabia Smart Grid (SASG) Jeddah, Saudi Arabia bander@ieee.org Asia-Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA ASC) Aloft Kuala Lumpur Sentral, Kuala Lumpur, Malaysia apsipa2017.org/ International Conference on Transforming Engineering Education (ICTEE) Maharashtra Institute of Technology, Pune, India rd IEEE International Conference on Computer and Communications (ICCC) Tibet Hotel Chengdu, China TRON Symposium (TRONSHOW Tokyo Midtown Hall, Tokyo, Japan IEEE International Conference on Computational Intelligence and Computing Research (ICCIC) Tamilnadu College of Engineering, Coimbatore, India IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS) ZJU-UIUC International Campus, Hangzhou, China wyyin@zju.edu IEEE International Conference on Industrial and Information Systems (ICIIS) University of Peradeniya, Sri Lanka IEEE 23rd International Conference on Parallel and Distributed Systems (ICPADS) Hotel Hilton Shekou Nanhai, Shenzhen, China futurenet.szu.edu.cn/icpads2017/ IEEE 2nd Information Technology, Networking, Electronic and Automation Control Conference (ITNEC) Xinhua International Hotel, Chengdu, China nd International Conference On Emerging Computation and Information Technologies (ICECIT) Siddaganga Institute of Technology, Tumakuru, India icecit.sit.ac.in IEEE Automatic Speech Recognition and Understanding Workshop (ASRU) Okinawa Convention Center, Okinawa, Japan asru2017.org/ th Asian Control Conference (ASCC) Gold Coast Convention and Exhibition Centre, Australia IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS) CV Raman College of Engineering, Bhubaneswar, India National Power Electronics Conference (NPEC) College of Engineering Pune, Pune, India International Conference on Infocom Technologies and Unmanned Systems (Trends and Future Directions) (ICTUS) Amity University Dubai Campus, United Arab Emirates th IEEE International Conference on Machine Learning and Applications (ICMLA) cancun, Mexico th International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT) International Building, National Taiwan University of Science and Technology, Taipei, Taiwan pdcat17.csie.ntust.edu.tw/ International Conference on Frontiers of Information Technology (FIT) Serena Hotel, Islamabad, Pakistan IEEE 24th International Conference on High Performance Computing (HiPC) Le Meridien, Jaipur, India International Conference on Open Source Systems & Technologies (ICOSST) Lahore, Pakistan icosst.kics.edu.pk IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT) TBD, Bangalore, India ieee-conecct.org/ 892 _ The Magazine of the IEIE 66

73 일자학술대회명개최장소홈페이지 / 연락처 Nineteenth International Middle East Power Systems Conference (MEPCON) Al-Masah Hotel, Egypt nd International Conference on Man and Machine Interfacing (MAMI) C.V.Raman College of Engineering, Bhubaneswar, India mami2017.webs.com/ International Conference on Technological Advancements in Power and Energy ( TAP Energy) Amrita University, Kollam, India tapenergy.amrita.ac.in th International Conference on Power Systems (ICPS) College of Engineering Pune, Pune, India Fourth International Conference on Image Information Processing (ICIIP) Jaypee University of Information Technology, Solan, India International Conference on Information Technology (ICIT) Silicon Institute of Technology, Bhubaneswar, India ww.icit2017.net IEEE International Conference on Telecommunications and Photonics (ICTP) Dhaka, Bangladesh ictp-comsoc.org/ th International Conference on Emerging Technologies (ICET) Capital University of Science and Technology, Islamabad, Pakistan 년 1 월 th International Conference on Communication Systems & Networks (COMSNETS) Chancery Pavilion Hotel, Bengaluru, India International Conference on Smart City and Emerging Technology (ICSCET) Universal College of Engineering, Mumbai, India icscet.universalcollegeofengineering th International Bhurban Conference on Applied Sciences and Technology (IBCAST) National Centre for Physics, Islamabad, Pakistan th International Conference on Cloud Computing, Data Science & Engineering (Confluence) Amity University, Noida, India th IEEE Annual Consumer Communications & Networking Conference (CCNC) Flamingo Las Vegas, NV, USA ccnc2018.ieee-ccnc.org IEEE International Conference on Consumer Electronics (ICCE) Las Vegas Convention Center, NV, USA icce.org IEEE 18th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF) Hyatt Regency Orange County Hotel, CA, USA th International Conference on Agents and Artificial Intelligence (ICAART) Funchal, Madeira, Portugal International Conference on Power, Instrumentation, Control and Computing (PICC) Government Engineering College Thrissur, Thrissur, India icetest.gectcr.ac.in/picc.html nd International Conference on Inventive Systems and Control (ICISC) JCT College of Engineering and Technology, Coimbatore, India icoisc.org/index.html IEEE Micro Electro Mechanical Systems (MEMS) Belfast Waterfront, United Kingdom rd Asia and South Pacific Design Automation Conference (ASP-DAC) ICC Jeju, jeju, Korea (South) Annual Reliability and Maintainability Symposium (RAMS) Silver Legacy, NV, USA rams.org IEEE International Conference on Industrial Electronics for Sustainable Energy Systems (IESES) The University of Waikato, Hamilton, New Zealand 년 2 월 International Conference on Communication information and Computing Technology (ICCICT) Bharatiya Vidya Bhavan's Sardar Patel Institute of Technology, Mumbai, India IEEE 4th World Forum on Internet of Things (WF-IoT) Sands Marina Hotel, Singapore Advances in Science and Engineering Technology International Conferences (ASET) The Higher Colleges of Technology, Dubai, United Arab Emirates conference.spit.ac.in conferences/conferencedetails/index. html?conf_id= th International Conference on Electrical Energy Systems (ICEES) Sri Sivasubramaniya Nadar College of Engineering, Chennai, India International Conference On Advances in Communication and Computing Technology (ICACCT) Amrutvahini College of Engineering, Sangamner, India 67 전자공학회지 _ 893

74 일자학술대회명개최장소홈페이지 / 연락처 Global LIFI Congress (GLC) Palais BRONGNIART, PARIS, France th International Conference on Computational Intelligence & Communication Technology (CICT) GHAZIABAD, India cict.abes.ac.in IEEE International Solid- State Circuits Conference - (ISSCC) San Francisco Marriott Marquis, CA, USA th International Conference on Advanced Communication Technology (ICACT) International Conference on Electrical Engineering (ICEE) Second International Conference on Computing Methodologies and Communication (ICCMC) ELYSIAN Gangchon, Chuncheon, Korea (South) Electrical Engineering Department, University of Engineering and Technology, Pakistan Surya Engineering College, Erode, India ee.uet.edu.pk/icee2018 icocmc.com International Conference on Communication, Computing and Internet of Things (IC3IoT) Sri Sairam Engineering College, Chennai, India IEEE Power & Energy Society Innovative Smart Grid Technologies Conference (ISGT) TBD, DC, USA ieee-isgt.org/ International Conference on Advancements in Computational Sciences (ICACS) The University of Lahore, Pakistan sites.uol.edu.pk/icacs18/ rd International Scientific-Professional Conference on Information Technology (IT) Hotel Zabljak, Montenegro th International Conference on Advanced Trends in Radioelecrtronics, Telecommunications and Computer Engineering (TCSET) Lviv Polytechnic National University, Lviv, Ukraine science.lpnu.ua/tcset IEEE International Conference on Industrial Technology (ICIT) CENTRE DE CONGRES DE LYON, Lyon 06, France ICIT2018.org th International Symposium on Emerging Trends and Technologies in Libraries and Information Services (ETTLIS) Bennett University, Greater Noida, India International Conference on Electronics, Communications and Computers (CONIELECOMP) Universidad de las Américas Puebla, Cholula, Mexico ict.udlap.mx/conielecomp/2018/ IEEE Power and Energy Conference at Illinois (PECI) I Hotel and Conference Center, Champaign, IL, USA International Conference on Engineering and Emerging Technologies (ICEET) Superior University Lahore, Lahore, Pakistan International Conference on Computer, Communication, and Signal Processing (ICCCSP) Sri Sivasubramaniya Nadar College of Engineering, Chennai, dia th International Conference on Signal Processing and Integrated Networks (SPIN) Amity University, India amity.edu/spin2018/ IEEE International Symposium on High Performance Computer Architecture (HPCA) TBD. Wien, Austria hpca2018.ece.ucsb.edu/ IEEE International Students' Conference on Electrical, Electronics and Computer Science (SCEECS) Maulana Azad National Institute of Technology, Bhopal, India rd International Conference On Internet of Things: Smart Innovation and Usages (IoT-SIU) Birla Institute of Applied Sciences, India Twenty Fourth National Conference on Communications (NCC) Indian Institute of Technology Hyderabad, Sangareddy, India 년 3 월 International Conference on Current Trends towards Converging Technologies (ICCTCT) SVS College of Engineering, Coimbatore, India IEEE Aerospace Conference Yellowstone Conference Center, MT, USA International Conference on Computing, Mathematics and Engineering Technologies (icomet) Sukkur IBA University, Sukkur, Pakistan icomet.iba-suk.edu.pk IEEE Applied Power Electronics Conference and Exposition (APEC) henry B. Gonzalez Convention Center, TX, USA IEEE 15th International Conference on Wearable and Implantable Body Sensor Networks (BSN) Treasure Island, Las Vegas, NV, USA bsn-bhi.embs.org/ _ The Magazine of the IEIE 68

75 일자학술대회명개최장소홈페이지 / 연락처 International Conference on Computing, Networking and Communications (ICNC) Hyatt Regency Maui Resort & Spa, Hawaii, USA IEEE EMBS International Conference on Biomedical & Health Informatics (BHI) Treasure Island, Las Vegas, NV, USA bsn-bhi.embs.org/2018/ th ACM/IEEE International Conference on Human-Robot Interaction (HRI) McCormick Place, Chicago, IL, USA humanrobotinteraction.org/2018/ International Workshop on Antenna Technology (iwat) Jinling Hotel Nanjing, Nanjing, China International Electrical Engineering Congress (ieecon) SICE International Symposium on Control Systems (SICE ISCS) 2018 IEEE 3rd International Conference on Big Data Analysis (ICBDA) National Power Engineering Conference (NPEC) IEEE International Reliability Physics Symposium (IRPS) Maritime Park & Spa Resort, Krabi, Thailand Tokyo City University, Setagaya Campus, Tokyo, Japan Convenient Center East China University of Science and Technology, Shanghai, China Thiagarajar College of Engineering, Madurai, India Hyatt Regency San Francisco Airport, Burlingame, CA, USA iscs2018.sice-ctrl.jp/ npec.tce.edu irps.org IEEE Winter Conference on Applications of Computer Vision (WACV) Harrah's and Harvey's Lake Tahoe, NV, USA wacv18.uccs.us IEEMA Engineer Infinite Conference (etechnxt) World Utility Summit (WUS) India Exposition Mart Ltd, Greater Noida, India INDIA EXPO MART, GREATER NOIDA, India ieema.org/the-all-new-elecrama-2018/ IEEE Integrated STEM Education Conference (ISEC) Friend Center, Princeton, NJ, USA ewh.ieee.org/conf/stem/ Optical Fiber Communications Conference and Exhibition (OFC) San Diego Convention Center, CA, USA IEEE Electrical Safety, Technical, Maintenance and Projects Workshop (ESTMP) Hyatt Regency Calgary, Calgary, AB, Canada sites.ieee.org/estmp/ th International Conference on Developments in Power System Protection (DPSP) Europa Hotel, Belfast, United Kingdom events.theiet.org/dpsp/index. cfm?origin=ieee German Microwave Conference (GeMiC) Konzerthaus Freiburg, Freiburg, Germany IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM) Kobe International Conference Center, Kobe, Japan ewh.ieee.org/conf/edtm/2018/index th International Symposium on Quality Electronic Design (ISQED) Santa Clara Convention Center, CA, USA th International Conference on Computing for Sustainable Global Development (INDIACom) BVICAM, New Delhi, India bvicam.ac.in/indiacom/ Moscow Workshop on Electronic and Networking Technologies (MWENT) Ilya Ivanov, Moscow, Russia mwent.hse.ru/en/ th International Conference on Recent Advances in Information Technology (RAIT) INDIAN INSTITUTE OF TECHNOLOGY (INDIAN SCHOOL OF MINES) DHANBAD, India th International Conference on Devices, Circuits and Systems (ICDCS) Karunya University, Coimbatore, India karunya.edu/ece/icdcs18/index.html IEEE Conference on Virtual Reality and 3D User Interfaces (VR) TBD, Reutlingen, Germany ieeevr.org IEEE International Conference on Pervasive Computing and Communications Workshops (PerCom Workshops) Divani Caravel Hotel, Athens, Greece Majan International Conference (MIC) Majan University College, Muscat, Oman mic18.majancollege.edu.om/ IEEE IAS Electrical Safety Workshop (ESW) Omni Fort Worth Hotel, TX, USA International Conference on Recent Trends in Electrical, Control and Communication (RTECC) B.S.ABDUR RAHMAN CRESCENT UNIVERSITY, CHENNAI, India 69 전자공학회지 _ 895

76 일자학술대회명개최장소홈페이지 / 연락처 th International Renewable Energy Congress (IREC) Averoes Hotel, Hammamet, Tunisia nd Annual Conference on Information Sciences and Systems (CISS) Princeton University, NJ, USA ee-ciss.princeton.edu/ International Conference on Informatics Computing in Engineering Systems (ICICES) S.A.Engineeering College, Chennai, India Ubiquitous Positioning, Indoor Navigation and Location- Based Services (UPINLBS) Wuhan University, China unsc.whu.edu.cn/upinlbs th International Symposium on Digital Forensic and Security (ISDFS) TBD, Antalya, Turkey International Conference on Wireless Communications, Signal Processing and Networking (WiSPNET) Sri Sivasubramaniya Nadar College of Engineering, Chennai, India International Conference on Control, Power, Communication and Computing Technologies (ICCPCCT) Vimal Jyothi Engineering College, Kannur,Kerala State, India International Applied Computational Electromagnetics Society Symposium (ACES) Embassy Suites by Hilton, Denver, CO, USA aces-society.org/conference/denver_2018/ IEEE Haptics Symposium (HAPTICS) The Westin St. Francis, San Francisco, CA, USA International conference on computation of power, energy, Information and Communication (ICCPEIC) Digital Library Conference Hall, Melamruvathur, chennai, India iccpeic.weebly.com/ th International Conference on Developments in Renewable Energy Technology (ICDRET) Kathmandu Unviersity, Nepal ku.edu.np/icdret2018/ Second International conference on Electronics, Communication and Aerospace Technology (ICECA) RVS Technical Campus, Coimbatore, India icoeca.org/index.html 2018 년 4 월 International Conference on Intelligent Systems and Computer Vision (ISCV) Faculty Of science Dhar El Mahraz, Fez, Morocco International Conference on Communication and Signal Processing (ICCSP) Digital Library Conference Hall, Chennai, India th International Conference on Information and Communication Systems (ICICS) Jordan University of Science and Technology, Irbid, Jordan IEEE Green Technologies Conference (GreenTech) AT&T Executive Education and Conference Center, Austin, TX, USA ieeegreentech.org/ rd Biennial South African Biomedical Engineering Conference (SAIBMEC) Spier, Stellenbosch, South Africa IEEE 15th International Symposium on Biomedical Imaging (ISBI 2018) Omni Shoreham Hotel, Washington DC, USA Future of Information and Communication Conference (FICC) TBA, Singapore saiconference.com/ficc IEEE Technological Innovations in ICT for Agriculture and Rural Development (TIAR) EASWARI ENGINEERING COLLEGE, Chennai, India IEEE Region 2 Student Activities Conference (SAC) Pittsburgh, PA, USA rd International Conference for Convergence in Technology (I2CT) Hotel Courtyard by Marriott, India ieeepune.i2ct.in/ IEEE Custom Integrated Circuits Conference (CICC) DoubleTree Mission Valley, CA, USA st International Conference on Data Intelligence and Security (ICDIS) Hilton at South Padre Island, TX, USA European Conference on Antennas and Propagation (EuCAP) ExCel London ICC Capital Suite and Hall, London, United Kingdom IEEE International Conference on Software Testing, Verification and Validation (ICST) Aros Congress Centre, Västerås, Sweden nd International Conference on Applied Electromagnetic Technology (AEMT) Golden Palace Hotel Lombok, Mataram, Indonesia aemt.unram.ac.id IEEE Pacific Visualization Symposium (PacificVis) Kobe University, Kobe, Japan itolab.is.ocha.ac.jp/pvis2018/# 896 _ The Magazine of the IEIE 70

77 일자학술대회명개최장소홈페이지 / 연락처 Integrated Communications, Navigation, Surveillance Conference (ICNS) Westin Washington Dulles Hotel, VA, USA International Conference on Photonics and High Speed Optical Networks (ICPHON) S A Engineering College, Chennai, India saec.ac.in/icphon IEEE International Conference on Soft Robotics (RoboSoft) Hotel Palazzo, Livorno, Italy ICASSP IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) TELUS Convention Center, AB, Canada 2018.ieeeicassp.org IEEE Wireless Communications and Networking Conference (WCNC) Centre Convencions Internacional Barcelona, Barcelona, Spain wcnc2018.ieee-wcnc.org/ IEEE MTT-S International Conference on Microwaves for Intelligent Mobility (ICMIM) Holiday Inn Munich-Unterhaching, Germany IEEE International Conference on Applied Superconductivity and Electromagnetic Devices (ASEMD) Conference Center, Wuhan, China IEEE/PES Transmission and Distribution Conference and Exposition (T&D) Colorado Convention Center, CO, USA IEEE INFOCOM IEEE Conference on Computer Communications Hilton Hawaiian Village Waikiki Beach Resort, HI, USA infocom2018.ieee-infocom.org/ International Symposium on VLSI Design, Automation and Test (VLSI-DAT) Ambassador Hotel Hsinchu, Hsinchu, Taiwan expo.itri.org.tw/2018vlsidat IEEE 34th International Conference on Data Engineering (ICDE) Conservatoire National des Arts et Métiers, France icde2018.org International Symposium on VLSI Technology, Systems and Application (VLSI-TSA) Ambassador Hotel Hsinchu, Taiwan expo.itri.org.tw/2018vlsitsa IEEE Global Engineering Education Conference (EDUCON) IBEROSTAR Grand Hotel Mencey, Spain IET 9th International Conference on Power Electronics, Machines & Drives (PEMD) ACC Liverpool, United Kingdom SoutheastCon 2018 TBD, St. Petersburg, FL, USA ewh.ieee.org/reg/3/southeastcon2018/ index.html Second International Conference on Inventive Communication and Computational Technologies (ICICCT) Hotel Arcadia, Coimbatore, India icicct.org/index.html IEEE International Conference on Applied System Invention (ICASI) TBD, Tainan, Taiwan 2018.icasi.tw/ IEEE International Magnetic Conference (INTERMAG) Marina Bay Sands Convention Centre, Singapore prem@ntu.edu.sg rd International Conference on Intelligent Green Building and Smart Grid (IGBSG) National Ilan University, Yi-Lan, Taiwan igbsg.ntust.edu.tw NOMS IEEE/IFIP Network Operations and Management Symposium NTUH International Convention Center, Taipei, Taiwan noms2018.ieee-noms.org/ th International Conference on Electric Power and Energy Conversion Systems (EPECS) Kitakyushu International Conference Center, Kitakyushu, Japan Annual IEEE International Systems Conference (SysCon) IEEE Radar Conference (RadarConf18) JW Marriott parq Vancouver, BC, Canada Renaissance Oklahoma City Convention Center Hotel, OK, USA ieeesystemscouncil.org/ IEEE/ION Position, Location and Navigation Symposium (PLANS) Hyatt Regency Monterey, CA, USA IEEE International Vacuum Electronics Conference (IVEC) Monterey Marriott, CA, USA International Symposium on Programming and Systems (ISPS) University of Sciences and Technology Houari Boumediene, Algiers, Algeria th International Conference on Optimization and Applications (ICOA) ENSET of Mohammedia, Mohammedia, Morocco th International Particle Accelerator Conference (IPAC) JW Marriott parq Vancouver, BC, Canada ipac18.org th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC) Saratoga Springs City Center, NY, USA 71 전자공학회지 _ 897

78 The Magazine of the IEIE 특별회원사및후원사명단 회원명 대표자 주소 전화 홈페이지 AP 위성통신 류장수 서울시금천구가산디지털2로 98 2동 9층 FCI 한상우 경기도성남시분당구판교로 255번길 35( 삼평동 ) 실리콘파크 B동 7층 I&C테크놀로지 박창일 경기도성남시분당구판교로 255번길 24 아이앤씨빌딩 KT 황창규 경기도성남시분당구정자동 LDT 정재천 충남천안시서북구한들1로 WE빌딩 LG전자 구본준 서울시영등포구여의도동 LIG 넥스원 이효구 서울시서초구강남대로 369( 서초동, 나라빌딩 ) RadioPulse 권태휘 경기도성남시분당구대왕판교로 660 유스페이스1A 1106호 ( 삼평동 ) SK Telecom 장동현 서울특별시중구을지로65( 을지로2가 ) SK T-타워 SK 하이닉스 박성욱 경기도이천시부발읍아미리산 국제종합측기 박재욱 서울특별시강남구강남대로 354 ( 역삼동 831, 혜천빌딩 10F, 12F) 나노종합기술원 이재영 대전광역시유성구대학로 291 ( 구성동, 한국과학기술원 ) 네이버 김상헌 경기도성남시분당구불정로 6 ( 정자동그린팩토리 ) 넥서스칩스 Douglas M. Lee 서울시강남구역삼동 넥스트칩 김경수 경기도성남시분당구판교로 323 벤처포럼빌딩 넥스파시스템 이상준 서울특별시성동구자동차시장1길 누리미디어 최순일 서울시영등포구선유로 63, 4층 ( 문래동 6가 ) 다빛센스 강영진 경기도성남시중원구사기막골로 124, Skn테크노파크비즈동 다우인큐브 이예구 경기도용인시수지구디지털벨리로 81 ( 죽전동디지털스퀘어 2층 ) 대구테크노파크 송인섭 대구시달서구대천동 대덕G.D.S 이희준 경기도안산시단원구산단로 63( 원시동 ) 대덕전자 김영재 경기도시흥시소망공원로 335 ( 정왕동 ) 대성전기 이철우 경기도안산시단원구산단로 31 ( 원시동, 8-27블럭 ) ( 재 ) 대전테크노파크 권선택 대전시유성구테크노9로 35 대전테크노파크 더즈텍 김태진 경기도안양시동안구학의로 292 금강펜테리움IT타워 A동 1061호 덴소풍성전자 김경섭 경남창원시성산구외동 동부하이텍 최창식 경기도부천시원미구수도로 동아일렉콤 손성호 경기도용인시처인구양지면남곡로 동운아나텍 김동철 서울시서초구서초동 아리랑타워 9층 디엠티 김홍주 대전광역시유성구테크노11로 라온텍 김보은 경기도성남시분당구황새울로360번길 42, 18층 ( 서현동 AK플라자 ) 라이트웍스 서인식 서울강남구테헤란로88길 14, 4층 ( 신도리코빌딩 ) 만도 성일모 경기도성남시분당구판교로 255번길 문화방송 안광한 서울시마포구성암로 삼성전자 권오현 서울시서초구서초2동 삼성전자빌딩 삼화콘덴서 황호진 경기도용인시처인구남사면경기동로 227 ( 남사면북리 124) 서연전자 조명수 경기도안산시단원구신원로 세미솔루션 이정원 경기도용인시기흥구영덕동 1029 흥덕U타워지식산업센터 20층 2005호 세원텔레텍 김철동 경기도안양시만안구전파로44번길 스카이크로스코리아 조영민 경기수원시영통구영통동 디지털엠파이어빌딩 C동 801호 _ The Magazine of the IEIE 72

79 회원명 대표자 주소 전화 홈페이지 ( 주 ) 시솔 이우규 서울시강서구공항대로 61길 29 서울신기술센터 A동 202호 실리콘마이터스 허염 경기도성남시분당구대왕판교로 660 유스페이스-1 A동 8층 실리콘웍스 한대근 대전시유성구탑립동 싸인텔레콤 성기빈 서울시영등포구경인로 775, 문래동 3가에이스하이테크시티 1동 119호 ( 주 ) 쏠리드 정준, 이승희 경기도성남시분당구판교역로 220 쏠리드스페이스 씨자인 김정표 경기성남시분당구구미동 보명프라자 아나패스 이경호 서울시구로구구로동 신세계아이앤씨디지털센타 7층 아바고테크놀로지스 전성민 서울시서초구양재동 아이닉스 황정현 수원시영동구덕영대로 1556번길 16, C동 1004호 ( 영통동, 디지털엠파이어 ) 아이디어 황진벽 서울마포구연남동 번지대원빌딩 5층 아이언디바이스 박기태 서울강남구신사동 예영빌딩 402호 아이에이 김동진 서울송파구송파대로 22길 5-23 ( 문정동 ) 안리쓰코퍼레이션 토루와키나가 경기도성남시분당구삼평동 681번지 H스퀘어 N동 5층 502호 알파스캔디스플레이 류영렬 서울특별시강서구허준로 217 가양테크노타운 202호 에디텍 정영교 경기도성남시분당구삼평동 621번지판교이노벨리 B동 1003호 에스넷시스템 박효대 서울특별시강남구선릉로 514 ( 삼성동 ) 성원빌딩 10층 에스엘 이충곤 경북경산시진량읍신상리 에이치앤티테크 강임성 대전광역시유성구용산동 에이투테크 김현균 경기도성남시수정구복정동 상헌빌딩 3층 엠텍비젼 이성민 경기도성남시분당구판교로 255번길 58 6층 601호 오픈링크시스템 성재용 광주광역시서구치평로 112 정연하이빌 402호 우양신소재 윤주영 대구광역시북구유통단지로 8길 유라코퍼레이션 엄병윤 경기도성남시분당구삼평동 유텔 김호동 경기도군포시당정동 이노피아테크 장만호 경기도상남시중원구갈마치로 215 A동 405호 주식회사이디 박용후 경기도성남시중원구상대원동 ( 둔촌대로457번길 14) 자람테크놀로지 백준현 경기도성남시분당구야탑동 파인벤처빌딩 2층 , 전자부품연구원 박청원 경기도성남시분당구새나리로 25 ( 야탑동 ) 주식회사제이엔티이엔지 최승훈 경기도성남시중원구사기막골로 148, 701호 ( 상대원동, 중앙이노테크 ) 제퍼로직 정종척 서울강남구역삼1동 아주빌딩 1801호 지에스인스트루먼트 고재목 인천시남구길파로71번길 70 ( 주안동 ) 지엠테스트 고상현 충남천안시서북구직산읍군서1길 19( 군서리 134) 충북테크노파크 남창현 충북청주시청원구오창읍연구단지로 케이던스코리아 ( 유 ) 제임스해댓 경기도성남시분당구판교로 344 엠텍IT타워 9층 (main office)/2층 코아리버 배종홍 서울시송파구가락본동 78번지 IT벤처타워서관 11층 콘티넨탈오토모티브시스템선우현 경기도성남시분당구판교역로 220 솔리드스페이스빌딩 클레어픽셀 정헌준 경기도성남시분당구판교로 242 판교디지털센터 A동 301호 키움인베스트먼트 박상조 서울특별시영등포구여의나루로4길 18 키움파이낸스스퀘어빌딩 16층 텔레칩스 이장규 서울특별시송파구올림픽로35다길 42 ( 신천동, 루터빌딩 19층 ~23층 ) 티에이치엔 채석 대구시달서구갈산동 티엘아이 김달수 경기도성남시중원구양현로 405번길 12 티엘아이빌딩 파워큐브세미 강태영 경기도부천시오정구석천로397( 부천테크노파크쌍용3차 ) 103동 901호 페어차일드코리아반도체 김귀남 경기도부천시원미구도당동 하이브론 이홍섭 인천광역시부평구청천동안남로402번길 25 3층 전자공학회지 _ 899

전자공학회지 2014.2월호 시안최종2:레이아웃 1 14. 02. 20 오?? 5:18 페이지 1 ISSN 1016-9288 제41권 2호 2014년 2월호 The Magazine of the IEIE 제 4 1 권 제 2 호 ( 2 0 1 4 년 2 월 ) 융 합 영 상 진 단 vol.41. no.2 융합영상진단 및 영상유도 치료 기술 동향 융합영상진단 및

More information

전자공학회지 2014.1월호 시안최종:레이아웃 1 14. 01. 21 오?? 3:24 페이지 1 ISSN 1016-9288 제41권 1호 2014년 1월호 The Magazine of the IEIE 제 4 1 권 vol.41. no.1 제 1 호 ( 2 0 1 4 년 1 월 ) 자 동 차 자동차 전자 자율주행 자동차 개발현황 및 시사점 차량/운전자 협력 자율주행

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 6호 2016년 6월호 제 4 3 권 제 6 호 The Magazine of the IEIE vol.43. no.6 ( ) 2 0 1 6 년 6 월 스 마 트 공 장 동 향 및 이 슈 스마트 공장 동향 및 이슈 스마트공장 기술 동향 및 R&D로드맵 스마트 공장을 위한 사물인터넷 기술 동향 스마트공장 표준화 동향과 시스템 구조

More information

098-103감사인사

098-103감사인사 감사인 소식 ❶ 감 사 원 2016년 고위감사공무원 등 임명장 수여 승 김주성, 한상준, 고현정, 김창우, 이태훈, 신영은, 진 2015. 11. 1. 한민주, 이덕영, 김호준, 이철재, 함유진, 정진화, 부감사관 김현태, 한승목, 윤일기, 정용현 유희연, 이은정 2016. 1. 18. 일반직고위감사공무원 IT감사단장 이남구 방송통신주사 이유리 일반직고위감사공무원

More information

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다.

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-9 호 소속 : 동신대학교 성명 : 유근창 귀하를본학회총무이사로위촉합니다. 제 2015-10 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-11 호 소속 : 대전보건대학교 성명 : 백승선 귀하를본학회사업이사로위촉합니다. 제 2015-12 호 소속 : 부산과학기술대학교 성명 : 신장철 귀하를본학회법제이사로위촉합니다.

More information

1111

1111 4 분당판 20권 21호 2014년 5월 25일 생명순활동상활 생명순활동상황 생명순 보고는 토요일 오전까지 마쳐주십시오. 보고자 : 김연호 목사 010-9251-5245 보고 : 각 교구 조장님께서 교구 사역자에게 보고해 주세요. 분당판 20권 21호 2014년 5월 25일 생명순활동상황 전도실적은 전도 한 분이 소속한 교구의 생명순에 전도한 인원수를 추가합니다.

More information

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 (

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 2016 학년도대학별논술고사일정 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 일 ) 경북대 11 월 21 일 ( 토 ) 경희대 [ 자연 1, 인문, 예체능 ] 11 월 14(

More information

Introduction to Deep learning

Introduction to Deep learning Introduction to Deep learning Youngpyo Ryu 동국대학교수학과대학원응용수학석사재학 youngpyoryu@dongguk.edu 2018 년 6 월 30 일 Youngpyo Ryu (Dongguk Univ) 2018 Daegu University Bigdata Camp 2018 년 6 월 30 일 1 / 66 Overview 1 Neuron

More information

<4D6963726F736F667420576F7264202D20B1E2C8B9BDC3B8AEC1EE2DC0E5C7F5>

<4D6963726F736F667420576F7264202D20B1E2C8B9BDC3B8AEC1EE2DC0E5C7F5> 주간기술동향 2016. 5.18. 컴퓨터 비전과 인공지능 장혁 한국전자통신연구원 선임연구원 최근 많은 관심을 받고 있는 인공지능(Artificial Intelligence: AI)의 성과는 뇌의 작동 방식과 유사한 딥 러닝의 등장에 기인한 바가 크다. 이미 미국과 유럽 등 AI 선도국에서는 인공지능 연구에서 인간 뇌 이해의 중요성을 인식하고 관련 대형 프로젝트들을

More information

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도 ISSN 1016-9288 제44권 1호 2017 년 1 월호 The Magazine of the IEIE vol.44. no.1 반도체산업의두번째도약 지능형 SoC 와그응용 나노공정시대의아날로그반도체기술 융합기술시대에서반도체의역할 Fabless 산업과반도체산업의도약 반도체설계교육센터 (IDEC) 와반도체산업 www.theieie.org 2017년도 임원 및

More information

Ch 1 머신러닝 개요.pptx

Ch 1 머신러닝 개요.pptx Chapter 1. < > :,, 2017. Slides Prepared by,, Biointelligence Laboratory School of Computer Science and Engineering Seoul National University 1.1 3 1.2... 7 1.3 10 1.4 16 1.5 35 2 1 1.1 n,, n n Artificial

More information

ÈûÂù100102

ÈûÂù100102 www.himchanhospital.com 2010 01+02 www.himchanhospital.com Contents Himchan People 02 04 07 08 10 12 14 15 16 17 18 Himchan network 19 20 22 Special Theme 04 + 05 06 + 07 } 08 + 09 I n t e r v i e w 10

More information

0904-6부 tta부록

0904-6부 tta부록 1988 6부 Appendix 부록 2008 우리 경제가 이처럼 비약적인 성장을 거듭할 수 있었던 중요한 요소 중, 하나를 꼽으라고 한다면 단연 정보통신기술의 발전을 빼놓을 수 없을 것이다. 현재의 대한민국은 세계 IT시장의 변화를 이끌어가는 명실상부한 경쟁력을 자랑하고 있다. 연표 정보통신표준 제 개정 현황 임원 부서장 표준 제 개정 및 폐지 절차 이사회

More information

[NO_11] 의과대학 소식지_OK(P)

[NO_11] 의과대학 소식지_OK(P) 진 의학 지식과 매칭이 되어, 인류의 의학지식의 수준을 높 여가는 것이다. 하지만 딥러닝은 블랙박스와 같은 속성을 가지고 있어서, 우리는 단지 결과만을 알 수 있기 때문에 이런 식의 의학지 식의 확장으로 이어지기는 힘들 수 있다는 것을 의미한다. 이것은 실제로 의학에서는 인공지능을 사용하게 될 때 여러 가지 문제를 만들 수 있다. 뿐만 아니라, 인간이 이해

More information

RR hwp

RR hwp 국가 e- 러닝품질관리센터운영을위한 e- 러닝품질관리가이드라인 연구책임자 연구협력관 도움을주신분들 김선태 ( 한국직업능력개발원 ) 김재현 ( 부산교육연구정보원 ) 김태민 ( 인천교육과학연구원 ) 김홍래 ( 춘천교육대학교 ) 문수영 ( 크레듀 ) 박상섭 ( 경남교육과학연구원 ) 박치동 ( 서울시교육청 ) 서유경 ( 한국교육과정평가원 ) 서준호 ( 한국교원연수원

More information

08학술프로그램

08학술프로그램 www.kafle.or.kr Foreign Language Teachers Expertise 01 01 02 03 04 05 06 07 한국외국어교육학회 2008년 겨울학술대회 학술대회 관련 문의 좌장: 이강국 (대학원 309호) 13:30~14:00 명사구 내 형용사의 위치와 의미 유은정 이상현 곽재용 14:00~14:30 스페인어 문자체계의 발달과정 연구

More information

ISSN 1016-9288 제44권 5호 2017 년 5 월호 The Magazine of the IEIE vol.44. no.5 IoT 보안기술의동향및위협 IoT 보안이슈및국내외보안기술개발동향 IoT 융합보안의동향분석및보안강화방안 IoT 용보안기능내장칩과현황소개 IoT 및센서기반자동채점운전면허시험개선프로그램개발과현장적용방안 산업용사물인터넷 (IIoT) 시장전망과기술동향

More information

딥러닝 첫걸음

딥러닝 첫걸음 딥러닝첫걸음 4. 신경망과분류 (MultiClass) 다범주분류신경망 Categorization( 분류 ): 예측대상 = 범주 이진분류 : 예측대상범주가 2 가지인경우 출력층 node 1 개다층신경망분석 (3 장의내용 ) 다범주분류 : 예측대상범주가 3 가지이상인경우 출력층 node 2 개이상다층신경망분석 비용함수 : Softmax 함수사용 다범주분류신경망

More information

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 ISSN 1016-9288 제45권 1호 2018 년 1 월호 The Magazine of the IEIE vol.45. no.1 AI( 인공지능 ) 반도체 머신러닝가속기연구동향 효율적메모리관리를통한모바일 CNN 가속기의최적화 에너지고효율인공지능하드웨어 인공지능하드웨어설계및최적화기술 뉴로모픽컴퓨팅기술 인공지능반도체 NM500 www.theieie.org 2018년도

More information

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인 2009 년제 56 차교원교육학술대회 교원성과상여금제도의 진단및개선방향 일시 : 2009 년 12 월 4 일 [ 금 ] 13:00-17:10 장소 : 서울교육대학교전산관 1 층 후원 : 교육과학기술부 한국교원교육학회 학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대

More information

ISSN 1016-9288 제45권 6호 2018 년 6 월호 The Magazine of the IEIE vol.45. no.6 4 차산업혁명과스마트제조기술및서비스동향 스마트공장표준화필요성및국내 외표준화동향 Industry 4.0을위한가변재구성제조시스템 (RMS4.0) 스마트공장정책및산업동향 스마트팩토리공급산업육성을위한테스트베드구축사례연구 스마트팩토리를위한가변재구성유연생산기술

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납 ISSN 1016-9288 제44권 12호 2017 년 12 월호 The Magazine of the IEIE vol.44. no.12 고령친화산업기술개발동향 고령친화산업시장현황및전망 사례중심의고령친화제품기술동향 고령사회시대중재적간호를위한지능형욕창방지매트리스 고령자의보행을위한고령친화용품의개발 고령친화형가정식대체식품동향 www.theieie.org 2018년도

More information

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연 이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 2017. 6. 22 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연구위원송대성박사前 ) 세종연구소소장방효복예 ) 중장前 ) 국방대학교총장남성욱박사고려대행정전문대학원장이원우박사前

More information

ISSN 1016-9288 제44권 10호 2017 년 10 월호 The Magazine of the IEIE vol.44. no.10 미래유망기술표준및시험인증동향 유망시험인증서비스전략로드맵 대용량에너지저장장치안전성시험 국내주요정보보호의무제도동향 가상현실표준화현황사용자이용을중심으로 항공분야극한전자기환경극복기술시험평가기술동향 www.theieie.org Contents

More information

수의대소식지5호(10)

수의대소식지5호(10) College of Veterinary Medicine, Seoul National University Newsletter September 2014 No. 5 Tel. 02-880-1231 http://vet.snu.ac.kr CONTENTS 1 7 17 2 9 3 10 No. 5, 2014. 9 2 College of Veterinary Medicine,

More information

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사 ISSN 1016-9288 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사곱셈기설계 저전력디지털신호처리가속기설계기술 CORDIC 을이용한저면적, 저전력신호처리 VLSI

More information

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 12호 2018 년 12 월호 The Magazine of the IEIE vol.45. no.12 스마트웨어러블기기에대한기술동향및전망 스마트웨어러블기기의 IEC 국제표준화동향 스마트웨어러블기기를위한전기변색기술동향 스마트의류시장현황및기술이슈 E-textiles 표준화동향 스마트웨어러블기기를위한형태가변형디스플레이기술동향및전망

More information

전자공학회지.11월호_레이아웃 1 16. 11. 22 오전 10:47 페이지 2 ISSN 1016-9288 제43권 11호 2016년 11월호 The Magazine of the IEIE 제 4 3 권 제 11 호 vol.43. no.11 ( 2 0 1 6 년 11 월 머신 러닝 기반 머신 비젼 최신 기술 동향 딥러닝 기법을 이용한 머신 비젼 기술 최근 응용

More information

04 특집

04 특집 특집 도서관문화 Vol.51 NO.5(2010.5) 시작하는 말 18 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크란? 19 도서관문화 Vol.51 NO.5(2010.5) 20 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크, 환경에 따라 변모하다 21 도서관문화 Vol.51 NO.5(2010.5) 소셜 네트워크와 도서관을 결합시키다

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 4호 2016 년 4 월호 The Magazine of the IEIE vol.43. no.4 5G 이동통신동향 : 서비스, 표준화, 유망기술 5G 서비스에서의패러다임시프트 5G 이동통신표준화및주파수동향 Effective Self-interference Cancellation for In-band Full-duplex Massive

More information

필수연구자료들의가치를높여줄최상의플랫폼을소개합니다. 검색, 문제해결, 의사결정지원분석기능까지모두갖춘만능솔루션 Authorized Dealer in Korea http://www.kitis.co.kr TEL. 02-3474-5290( 代 ) Contents 제 43 권 8 호 (2016 년 8 월 ) 대한전자공학회 70 년사 12 대한민국광복 71 년, 대한전자공학회

More information

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference 일 시 2014년 3월 6일 ( 목 ) 14:00-17:20

More information

41-4....

41-4.... ISSN 1016-9288 제41권 4호 2014년 4월호 제 4 1 권 제 4 호 ( ) 2 0 1 4 년 4 월 차 세 대 컴 퓨 팅 보 안 기 술 The Magazine of the IEIE 차세대 컴퓨팅 보안기술 vol.41. no.4 새롭게 진화하는 위협의 패러다임 - 지능형 지속 위협(APT) 인터넷을 통해 유포되는 악성 프로그램 대응전략 차세대

More information

ISSN 1016-9288 제44권 8호 2017 년 8 월호 The Magazine of the IEIE vol.44. no.8 딥러닝을포함한인간행동인식최신기술동향 비디오기반행동인식연구동향 지능형자동차를위한야간보행자검출기술 의미론적연관성분석을위한영상정합동향 최근표정인식기술동향 무인비행체임베디드조난자탐지시스템 MPEG-I 표준과 360 도비디오콘텐츠생성 컴퓨터비전및영상처리를위한컬러시스템의이해

More information

1842È£-º»¹®

1842È£-º»¹® 제1842호 2007. 4. 23 사랑받은 50년! 정성으로 보답하겠습니다 가톨릭중앙의료원 개원기념식 거행 (의료원/성모/강남성모/의정부성모병원) 가톨릭중앙의료원 개원기념식 2007년 5월 3일 (목) 10:30 ~, 가톨릭의과학연구원 2층 대강당 가톨릭의대 성모병원 개원71주년 기념식 2007년 5월 3일(목) 7:50~, 성모병원(여의도) 본관 4층 강당

More information

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크 Individual BC1 Pool A Standing 1 101 유원종 여수동백원 3 1 1 8 8 0 2 114 이유진 모자이크 3 1 1 4 2 0.666666667 3 115 박상호 경남부치소 3 1 1 5 6-0.333333333 4 128 권오찬 충남보치아연맹 3 1 1 5 6-0.333333333 Individual BC1 Pool A Game

More information

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 1 2 3 4 5 6 7 8 9 9 2010.1 Fashionbiz

More information

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위 ISSN 1016-9288 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위한 LEGO Mindstorms EV3 연구 www.theieie.org Together,

More information

°ÇÃà8¿ùÈ£Ãâ·Â

°ÇÃà8¿ùÈ£Ãâ·Â 2010 FIFA WORLD CUP SOUTH AFRICA 2 I I 3 4 I I 5 6 I I 7 8 I I 9 10 I NEWS 2010년 7월 10일(토) 지난 6월 21일 발표된 '제23회 광주광 역시 미술대전' 심사결과 건축 부문의 44점 출품 작품 중, 대상에 김아름, 김 지인, 한강우 팀이 출품한 The line : Time passage 이

More information

41-5....

41-5.... ISSN 1016-9288 제41권 5호 2014년 5월호 제 4 1 권 제 5 호 ( ) 2 0 1 4 년 5 월 SSD (Solid State Drive) The Magazine of the IEIE vol.41. no.5 SSD (Solid State Drive) SSD (Solid State Drive)를 이루는 기술과 미래 SSD의 등장에 따른 OS의

More information

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Sang-IlSeok

More information

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, 2018 1 1.1 Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 6.5에서 찾아볼 수 있다. http://incompleteideas.net/book/bookdraft2017nov5.pdf

More information

Untitled-1

Untitled-1 영역별 욕구조사 설문지 예시 자료 3 장애인영역 평택시 사회복지시설 욕구조사 실무도움서 _ 201 202 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 2 3 평택시 사회복지시설 욕구조사 실무도움서 _ 203 204 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 4 평택시 사회복지시설 욕구조사 실무도움서 _ 205 2 3 4 5 6 7

More information

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도 제 4 2 권 제 1 호 ( ) 2 0 1 5 년 1 월 도체소ISSN 1016-9288 제42권 1호 The Magazine of the IEIE 반도체소자제조기술동향 GaN(Gallium Nitride) 기반전력소자제작기술개발현황 고출력 LED 조명개발및기술개발동향 OLED 기술개발및공정기술동향과시장전망 CMOS Image Sensor(CIS) 제작기술동향

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 5 호 2015 년 5 월호 The Magazine of the IEIE vol.42. no.5 차세대멀티미디어서비스를위한기술 실감형원격영상회의를위한시선맞춤기술 Computer Vision 연구자가 Deep Learning 의시대를사는법 실감형컨텐츠를위한무선접속기술 랜덤워크를이용한영상멀티미디어데이터의중요도검출연구 사이버물리멀티미디어시스템활용서비스와통신기술분석

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Tae-HoonYoon

More information

보고싶었던 Deep Learning과 OpenCV를이용한이미지처리과정에대해공부를해볼수있으며더나아가 Deep Learning기술을이용하여논문을작성하는데많은도움을받을수있으며아직배우는단계에있는저에게는기존의연구를따라해보는것만으로도큰발전이있다고생각했습니다. 그래서이번 DSP스마

보고싶었던 Deep Learning과 OpenCV를이용한이미지처리과정에대해공부를해볼수있으며더나아가 Deep Learning기술을이용하여논문을작성하는데많은도움을받을수있으며아직배우는단계에있는저에게는기존의연구를따라해보는것만으로도큰발전이있다고생각했습니다. 그래서이번 DSP스마 특성화사업참가결과보고서 작성일 2017 12.22 학과전자공학과 참가활동명 EATED 30 프로그램지도교수최욱 연구주제명 Machine Learning 을이용한얼굴학습 학번 201301165 성명조원 I. OBJECTIVES 사람들은새로운사람들을보고인식을하는데걸리는시간은 1초채되지않다고합니다. 뿐만아니라사람들의얼굴을인식하는인식률은무려 97.5% 정도의매우높은정확도를가지고있습니다.

More information

???춍??숏

???춍??숏 Suseong gu Council Daegu Metropolitan City www.suseongcouncil.daegu.kr Contents SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY 10 www.suseongcouncil.daegu.kr 11 SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY

More information

ISSN 1016-9288 제44권 7호 2017 년 7 월호 The Magazine of the IEIE vol.44. no.7 최신무선통신기술및표준화동향 차세대이동통신시스템에서의위치측위기술및표준화동향 IMT-2020 5G 서비스용후보대역결정을위한공유연구동향 802.11P 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 RF 무선전력전송기술과관련무선통신연구동향

More information

Reinforcement Learning & AlphaGo

Reinforcement Learning & AlphaGo Gait recognition using a Discriminative Feature Learning Approach for Human identification 딥러닝기술및응용딥러닝을활용한개인연구주제발표 이장우 wkddn1108@kist.re.kr 2018.12.07 Overview 연구배경 관련연구 제안하는방법 Reference 2 I. 연구배경 Reinforcement

More information

ISSN 1016-9288 제44권 9호 2017 년 9 월호 The Magazine of the IEIE vol.44. no.9 로봇산업의발달 지능형로봇산업산업동향과정책방향 원격조종로봇의주행을위한 3차원반구가시화시스템 뱀로봇하늘을날다 지능형 AGV 시스템을위한로드맵및원격제어기술개발사례 Make-Fail-Play 청소년들의창의성을깨우는 WRO www.theieie.org

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 3호 2018 년 3 월호 The Magazine of the IEIE vol.45. no.3 최신의료진단기술및동향 생체전기물성기반암진단및치료효과모니터링연구 스펙트럼전산화단층촬영의적용및최신동향 흉부디지털단층영상합성시스템소개및최근연구동향 핵의학 PET/CT 의임상적용 헬스케어웨어러블디바이스의기술및시장동향 www.theieie.org

More information

감사회보 5월

감사회보 5월 contents 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 동정 및 안내 상장회사감사회 제173차 조찬강연 개최 상장회사감사회 제174차 조찬강연 개최 및 참가 안내 100년 기업을 위한 기업조직의 역 량과 경영리더의 역할의 중요성 등 장수기업의 변화경영을 오랫동안 연구해 온 윤정구 이화여자대학교

More information

ISSN 1016-9288 제43권 10호 2016 년 10 월호 The Magazine of the IEIE vol.43. no.10 압축센싱을활용한통신및레이더신호처리 압축센싱기술을적용한초광대역수신기개발동향 압축센싱과광대역레이더신호획득기술 실리콘공정기술기반초고속압축센싱용수신기의집적화구현연구 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구형 탐색레이더에서의압축센싱기술기반

More information

01....-1

01....-1 ISSN 1016-9288 제41권 7호 2014년 7월호 제 4 1 권 제 7 호 ( ) 2 0 1 4 년 7 월 E M P 영 향 과 필 터 개 발 동 향 The Magazine of the IEIE EMP 영향과 필터 개발동향 vol.41. no.7 전자부품에 미치는 고에너지 전자기파의 영향 전도성 전자파 해석 연구 동향 분석 HEMP 필터 개발 동향

More information

07변성우_ok.hwp

07변성우_ok.hwp 2 : (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.631 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a), b) Metadata Management System Implementation

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 11 호 2015 년 11 월호 The Magazine of the IEIE 의료영상과응용방안 vol.42. no.11 레디오믹 (Radiomic) 분석과암의료영상 의료초음파영역 : 해부학적영상그이상 의료용내시경의이해와응용 CT 의원리와임상적용 영상유전학 (Imaging genetics) 을통한질병분석 www.theieie.org

More information

ISSN 1016-9288 제45권 8호 2018 년 8 월호 The Magazine of the IEIE vol.45. no.8 AR/VR 을위한비전기술및 Deep Learning 최신동향 딥러닝을이용한영상기반 3차원얼굴복원기법의기술동향 시선추적기술의소개 360 도영상을이용한 AR/VR 콘텐츠생성 저용량프로세서를위한딥러닝레이어압축기법과응용 의미적분할을위한약지도기계학습

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

ISSN 1016-9288 제45권 9호 2018 년 9 월호 The Magazine of the IEIE vol.45. no.9 4 차산업혁명시대의로봇산업 4차산업혁명과로봇산업 소프트로보틱스와피킹기술 4차산업혁명시대의소셜로봇현황과미래전망 드론용구동모듈의기술동향 상지절단자를위한재활보조장치의국내외제품현황및연구동향 www.theieie.org Contents

More information

ISSN 1016-9288 제45권 5호 2018 년 5 월호 The Magazine of the IEIE vol.45. no.5 수중 IoT(UIoT:Underwater Internet of Things) 수중통신개요 수중기지국기반수중음파통신기술과활용분야 수중음파통신기술과동향 수중무선광통신기술동향 수중극저주파 (Extremely Low Frequency)

More information

빅데이터_DAY key

빅데이터_DAY key Big Data Near You 2016. 06. 16 Prof. Sehyug Kwon Dept. of Statistics 4V s of Big Data Volume Variety Velocity Veracity Value 대용량 다양한 유형 실시간 정보 (불)확실성 가치 tera(1,0004) - peta -exazetta(10007) bytes in 2020

More information

ISSN 1016-9288 제45권 10호 2018 년 10 월호 The Magazine of the IEIE vol.45. no.10 가상현실 (VR) 을위한통신기술 무선 VR 서비스를위한통신네트워크시스템고선명영상지원초저지연무선 VR 전송기술무선 VR 플랫폼기술동향과전망무선 VR을위한무선랜표준기술분석가상현실 VR 오디오 VR 콘텐츠어지럼증의유발요인에대한이해와저감방안

More information

( 분류및특징 ) 학습방법에따라 1 지도학습 (Supervised 2 비지도 학습 (Unsupervised 3 강화학습 (Reinforcement 으로구분 3) < 머신러닝의학습방법 > 구분 지도학습 (Supervised 비지도학습 (Unsupervised 강화학습 (

( 분류및특징 ) 학습방법에따라 1 지도학습 (Supervised 2 비지도 학습 (Unsupervised 3 강화학습 (Reinforcement 으로구분 3) < 머신러닝의학습방법 > 구분 지도학습 (Supervised 비지도학습 (Unsupervised 강화학습 ( 보안연구부 -2016-016 머신러닝 (Machine 개요및활용동향 - 금융권인공지능 (AI) 을위한머신러닝과딥러닝 - ( 보안연구부보안기술팀 / 2016.3.24.) 개요 이세돌 9단과인공지능 (AI, Artificial Intelligence) 알파고 (AlphaGo) 의대국 ( 16 년 3월 9~15일총 5국 ) 의영향으로 4차산업혁명단계 1) 진입을인식함과더불어금융권에서도인공지능기술이주목받게됨에따라,

More information

Microsoft PowerPoint - 실습소개와 AI_ML_DL_배포용.pptx

Microsoft PowerPoint - 실습소개와 AI_ML_DL_배포용.pptx 실습강의개요와인공지능, 기계학습, 신경망 < 인공지능입문 > 강의 허민오 Biointelligence Laboratory School of Computer Science and Engineering Seoul National University 실습강의개요 노트북을꼭지참해야하는강좌 신경망소개 (2 주, 허민오 ) Python ( 프로그래밍언어 ) (2주, 김준호

More information

한 국 산 업 규 격 KS

한 국 산 업 규 격              KS KOrea RObot Standard forum 지능형로봇표준포럼표준 제정일: 2009 년 12 월 15 일 - KOROS 1071-2:2012 - 개정일: 2012 년 mm 월 dd 일 실내 서비스로봇을 위한 물체 인식 성능평가 2부: 영상 데이터베이스를 활용한 평가 (Performance evaluation of object recognition algorithm

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 [ 인공지능입문랩 ] SEOPT ( Study on the Elements Of Python and Tensorflow ) 인공지능 + 데이터분석목적 / 방법 / 기법 / 도구 + Python Programming 기초 + NumpyArray(Tensor) youngdocseo@gmail.com 1 *3 시간 / 회 구분일자내용비고 1 회 0309

More information

REP - CP - 016, N OVEMBER 사진 요약 25 가지 색상 Surf 를 이용한 사진 요약과 사진 배치 알고리즘 Photo Summarization - Representative Photo Selection based on 25 Color Hi

REP - CP - 016, N OVEMBER 사진 요약 25 가지 색상 Surf 를 이용한 사진 요약과 사진 배치 알고리즘 Photo Summarization - Representative Photo Selection based on 25 Color Hi 1 사진 요약 25 가지 색상 Surf 를 이용한 사진 요약과 사진 배치 알고리즘 Photo Summarization - Representative Photo Selection based on 25 Color Histogram and ROI Extraction using SURF 류동성 Ryu Dong-Sung 부산대학교 그래픽스 연구실 dsryu99@pusan.ac.kr

More information

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466>

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466> 11-8140242-000001-08 2013-927 2013 182 2013 182 Contents 02 16 08 10 12 18 53 25 32 63 Summer 2 0 1 3 68 40 51 57 65 72 81 90 97 103 109 94 116 123 130 140 144 148 118 154 158 163 1 2 3 4 5 8 SUMMER

More information

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf 2015 학년도 논술 가이드북 K Y U N G H E E U N I V E R S I T Y 2015 학년도수시모집논술우수자전형 1. 전형일정 논술고사 구분 일정 원서접수 2014. 9. 11( 목 ) 10:00 ~ 15( 월 ) 17:00 고사장확인 2014. 11. 12( 수 ) 전형일 2014. 11. 15( 토 ) ~ 16( 일 ) 합격자발표 2014.

More information

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And 2017 학년도 IT 융합학습동아리 2 차선정자명단 번호 팀명 지도교수 번호 학번 학과 학년 비고 지원금액 ( 원 ) 1 20164047 전기공학과 2 대표학생 2 20164043 전기공학과 2 구성원 1 오합지졸 김기찬 3 20161435 전기공학과 2 구성원 4 20161464 전기공학과 2 구성원 5 20161466 전기공학과 2 구성원 6 20141935

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

41-9....

41-9.... ISSN 1016-9288 제41권 9호 2014년 9월호 제 4 1 권 제 9 호 ( ) 2 0 1 4 년 9 월 첨 단 전 자 시 스 템 의 산 업 기 술 The Magazine of the IEIE vol.41. no.9 첨단 전자시스템의 산업기술 R&D 전략 최신의료기기 기술 및 산업동향 시스템반도체 현황 및 경쟁력 분석 통합모듈형항공전자(IMA) 기술동향

More information

¿øÀü¼¼»ó-20È£c03ÖÁ¾š

¿øÀü¼¼»ó-20È£c03ÖÁ¾š 아름다운 사람들의 소중한 이야기- 원전세상 원전세상은 원전가족과 함께하는 건강 환경 종합지입니다. 성공노하우 대리점 탐방 원전가족한마당 또 하나의 가족 스파젠 제품리뷰 활성산소 2005 년 총권 20호 www.onejon.co.kr Contents 05 11 26 34 4 onejon world 2005 5 6 onejon world 2005 7 8 onejon

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 2호 2018 년 2 월호 The Magazine of the IEIE vol.45. no.2 차세대동영상압축기술 JVET 차세대비디오부호화표준화동향 차세대비디오부호화의블록분할구조 차세대비디오부호화를위한 JVET 인트라예측기술 FVC 기술소개 - JVET Inter Prediction MPEG-FVC 의딥러닝응용비디오압축기술

More information

untitled

untitled 외국인환자유치사업활성화및안전성확보방안행사개요 주최 : 보건복지부 주관 : 한국보건산업진흥원 대상 : 유치기관, 지자체, 외국인환자유치사업관심있는모든분 일정 ( 총 3시간 ) 일시장소대상지역 6.13( 수 ) 14:00 17:00 건양대병원암센터 5 층대강당대전 ( 충청 전라 ) 설명회 ( 4 회 ) 6.14( 목 ) 14:00 17:00 부산국제신문사 4 층중강당부산

More information

Electronics and Telecommunications Trends 인공지능을이용한 3D 콘텐츠기술동향및향후전망 Recent Trends and Prospects of 3D Content Using Artificial Intelligence Technology

Electronics and Telecommunications Trends 인공지능을이용한 3D 콘텐츠기술동향및향후전망 Recent Trends and Prospects of 3D Content Using Artificial Intelligence Technology Electronics and Telecommunications Trends 인공지능을이용한 3D 콘텐츠기술동향및향후전망 Recent Trends and Prospects of 3D Content Using Artificial Intelligence Technology 이승욱 (S.W. Lee, tajinet@etri.re.kr) 황본우 (B.W. Hwang,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

untitled

untitled 13-28 Korea Building Expo in China 2009 www.kobuild.com NO.1 www.duckshin.com The Korea Land Daily 14-15 16 17 19 22 23 24 25 27 I CMYK 20 전 2009년 7월 16일 목요일 면 광 21 고 2009 대한민국 건설환경기술상 국토해양부

More information

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9 대회명 : 장 소 : 경기장 - 동백체육관 일시 : 2017. 4. 7 ~ 4. 9 Event Total Entry Pool Number Advance Number in a pool Point Qualifier KO Position Individual BC1 - - - - - Individual BC2 - - - - - Individual BC3 43 14

More information

1 제 26 장 사회간접자본의확충

1 제 26 장 사회간접자본의확충 삼성경제연구소 1 제 26 장 사회간접자본의확충 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 제 27 장 대형국책과제의추진 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56

More information

ISSN 1016-9288 제45권 7호 2018 년 7 월호 The Magazine of the IEIE vol.45. no.7 Neuromorphic 시스템설계기술 뉴로모픽시스템의설계기술과전망 멤리스터소자를활용한뉴로모픽동향연구 확률컴퓨팅기반딥뉴럴넷 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 엣지디바이스를위한뉴로모픽비동기프로세서의설계 www.theieie.org

More information

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o 2019. 05. 18 ::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Team Statistics 2019. 05. 18 15:50 원광대체육관 Referees : Technical officer : MVP : - 원광대학교 조선대학교 22 7 : 12 15 : 12 24 원광대학교 Player Shots Offence

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

ISSN 1016-9288 제45권 4호 2018 년 4 월호 The Magazine of the IEIE vol.45. no.4 양자통신과양자컴퓨터기술 양자기술을향한양자물리학의기초개념 양자암호통신개요및기술동향 양자컴퓨터의소개및전망 결함허용양자컴퓨팅연구개발동향 양자정보이론과결함허용양자컴퓨팅 양자알고리즘개발을위한머신러닝기법 www.theieie.org Contents

More information

173

173 방송기자저널 한국방송기자클럽 발행인 엄효섭 편집인 김벽수 월간 발행처 2013 8August 1990년 6월 20일 창간 서울시 양천구 목1동 923-5 방송회관 12층 T. 02) 782-0002,1881 F. 02) 761-8283 www.kbjc.net 제173호 Contents 02 방송이슈 현오석 부총리 겸 기획재정부 장관 초청 토론회 한국방송대상

More information

경남도립거창대학 5 개년발전전략 GPS 2020( 안 )

경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 2016. 3 GPS 2020 본과업은대학발전 TASK FORCE 팀에 의하여연구되었습니다. 2016. 3 경남도립거창대학 5 개년발전전략 GPS 2020 Ⅰ 1. 8 2. 8 3. 12 4. 13 5. 14 6. 16 7. 17 Ⅱ 1. 20 2. 21 3. 24 4. 25 Ⅲ 1. 28 2. 29

More information

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9 오늘날 자동차와 도로는 ICT 기술과 융합되어 눈부시게 발전하고 있습니다. 자동차는 ICT 기술과 접목되어 스마트 자동차로 변화하며 안전하고 편리하며 CO 2 방출을 줄이는 방향으로 기술개발을 추진하고 있으며 2020년경에는 자율 주행 서비스가 도입될 것으로 전망하고 있습니다. 또한, 도로도 ICT 기술과 접목되어 스마트 도로로 변화하며 안전하고 편리하며 연료

More information

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E 전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 B PLC (시간) 이기태 A 열전달 김상윤 A 산업디자인 (시간) 최병오 B 전기기기실험 정대성 D 전기기기실험 정대성 B 열전달 김상윤 E 전기기기실험 정대성 C 열전달 김상윤 F 전기기기실험 정대성 D 기계요소설계 이동호 E 기계요소설계 이동호 F 기계요소설계 이동호 A 영어회화

More information

전기차보급활성화포럼 전기차보급정책방향ㅣ 211

전기차보급활성화포럼 전기차보급정책방향ㅣ 211 전기차보급정책방향 에너지관리공단부장 l 박성우 전기차보급활성화포럼 전기차보급정책방향ㅣ 211 전기차보급활성화포럼 212 ㅣ 전기차보급정책방향ㅣ 213 전기차보급활성화포럼 214 ㅣ 전기차보급정책방향ㅣ 215 전기차보급활성화포럼 216 ㅣ 전기차보급정책방향ㅣ 217 전기차보급활성화포럼 218 ㅣ 전기차보급정책방향ㅣ 219 전기차보급활성화포럼 220 ㅣ 전기차보급정책방향ㅣ

More information

<4D6963726F736F667420576F7264202D20C3D6BDC52049435420C0CCBDB4202D20BAB9BBE7BABB>

<4D6963726F736F667420576F7264202D20C3D6BDC52049435420C0CCBDB4202D20BAB9BBE7BABB> 주간기술동향 2016. 2. 24. 최신 ICT 이슈 인공지능 바둑 프로그램 경쟁, 구글이 페이스북에 리드 * 바둑은 경우의 수가 많아 컴퓨터가 인간을 넘어서기 어려움을 보여주는 사례로 꼽혀 왔 으며, 바로 그런 이유로 인공지능 개발에 매진하는 구글과 페이스북은 바둑 프로그램 개 발 경쟁을 벌여 왔으며, 프로 9 단에 도전장을 낸 구글이 일단 한발 앞서 가는

More information

한국건설산업연구원연구위원 김 현 아 연구위원 허 윤 경 연구원 엄 근 용

한국건설산업연구원연구위원 김 현 아 연구위원 허 윤 경 연구원 엄 근 용 한국건설산업연구원연구위원 김 현 아 연구위원 허 윤 경 연구원 엄 근 용 (%) 10.0 5.0 0.0-5.0-10.0 0.6 1.0 0.3 2.9 0.7 1.3 9.0 5.6 5.0 3.9 3.4 3.9 0.0 95 90-0.3 85 변동률 지수 65-15.0-13.6 60 '95 '96 '97 '98 '99 '00 '01 '02 '03 '04 '05 '06

More information

다중 곡면 검출 및 추적을 이용한 증강현실 책

다중 곡면 검출 및 추적을 이용한 증강현실 책 1 딥러닝기반성별및연령대 추정을통한맞춤형광고솔루션 20101588 조준희 20131461 신혜인 2 개요 연구배경 맞춤형광고의필요성 성별및연령별주요관심사에적합한광고의필요성증가 제한된환경에서개인정보획득의한계 맞춤형광고의어려움 영상정보기반개인정보추정 연구목표 딥러닝기반사용자맞춤형광고솔루션구현 얼굴영상을이용한성별및연령대추정 성별및연령대를통합네트워크로학습하여추정정확도향상

More information

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원 학번 이름 전공 학년 상담교수 2006312985 곽정헌 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310678 정연우 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310952 이충의 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007311111 강민주 정보통신대학-반도체시스템공학과 (317304) 4

More information

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도 전자공학회지 2016.1월호_최종파일_레이아웃 1 16. 01. 25 오후 3:47 페이지 1 ISSN 1016-9288 제43권 1호 2016년 1월호 제 4 3 권 제 1 호 The Magazine of the IEIE vol.43. no.1 ( 2 0 1 6 년 1 월 IoT를 가능하게 하는 반도체 기술 (Semiconductor Technologies

More information

2015 국민대학교 자체평가보고서

2015 국민대학교 자체평가보고서 2015 국민대학교 자체평가보고서 1 2015 국민대학교 자체평가보고서 국민대학교 개황 대학 현황 우리 대학은 민족 수난기에 조국의 광복운동을 이끌어 온 애국자 해공 신익희 선생을 비롯한 상해 임시정부 요인들이 건국에 필요한 인재를 양성하고자 설립한 해방 후 최초의 사립대학이다. 금년으로 개교 65주년을 맞이한 우리 대학교는 해공 선생의 건학이념과 성곡 선생의

More information

<B3EDB4DC28B1E8BCAEC7F6292E687770>

<B3EDB4DC28B1E8BCAEC7F6292E687770> 1) 초고를읽고소중한조언을주신여러분들게감사드린다. 소중한조언들에도불구하고이글이포함하는오류는전적으로저자개인의것임을밝혀둔다. 2) 대표적인학자가 Asia's Next Giant: South Korea and Late Industrialization, 1990 을저술한 MIT 의 A. Amsden 교수이다. - 1 - - 2 - 3) 계량방법론은회귀분석 (regression)

More information