ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사

Size: px
Start display at page:

Download "ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사"

Transcription

1 ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사곱셈기설계 저전력디지털신호처리가속기설계기술 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계기술및그응용 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계

2

3

4

5

6

7 Contents 제 44 권 6 호 (2017 년 6 월 ) 학회소식 12 학회소식 / 편집부 16 학회일지 17 특집편집기 / 박종선 특집 : 고효율디지털신호처리용 VLSI 설계기술 18 에너지효율적인이미지프로세서를위한 Approximate Computing / 김영민, 김성현 24 고효율디지털신호처리를위한근사곱셈기설계 / 하민호, 이영주, 이승구 학회지 6 월호표지 (vol 44. No 6) 회지편집위원회 위원장황인철 ( 강원대학교교수 ) 위원권구덕 ( 강원대학교교수 ) 김동규 ( 한양대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김현 ( 부천대학교교수 ) 김호철 ( 을지대학교교수 ) 박종선 ( 고려대학교교수 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 심정연 ( 강남대학교교수 ) 여희주 ( 대진대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이찬수 ( 영남대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정승원 ( 동국대학교교수 ) 최용수 ( 성결대학교교수 ) 허준 ( 고려대학교교수 )) 사무국편집담당변은정차장 ( 내선 3) TEL : (02) ( 대 ) FAX : (02) 학회홈페이지 32 저전력디지털신호처리가속기설계기술 / 전동석 42 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계기술및그응용 / 신동엽, 박종선 50 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 / 신태환, 정재용 63 논문지논문목차 65 신간안내 정보교차로 66 국내외학술행사안내 / 편집부 78 특별회원사, 단체회원

8 The Magazine of the IEIE 2017 년도임원및각위원회위원 회 장 홍대식 ( 연세대학교교수 ) - 총괄 수석부회장백준기 ( 중앙대학교교수 ) - 총괄, 영문논문지 고 문 구원모 ( 전자신문사대표이사 ) 김기남 ( 삼성전자 사장 ) 박성욱 (SK하이닉스 부회장 ) 박청원 ( 전자부품연구원원장 ) 백만기 ( 김 & 장법률사무소변리사 ) 이상훈 ( 한국전자통신연구원원장 ) 이재욱 ( 노키아티엠씨명예회장 ) 이희국 ( LG 상임고문 ) 천경준 ( 씨젠회장 ) 감 사 백흥기 ( 전북대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 부 회 장 서승우 ( 서울대학교교수 ) - 사업 안승권 (LG 전자 사장 ) - 산학연 이장명 ( 부산대학교교수 ) - 회원, 지부, 표준화 임혜숙 ( 이화여자대학교교수 ) - 학술 최천원 ( 단국대학교교수 ) - 기획, 국문논문, 교육 / 홍보, 회지 소사이어티회장 이흥노 ( 광주과학기술원교수 ) - 통신소사이어티 전영현 ( 삼성SDI 사장 ) - 반도체소사이어티 김승천 ( 한성대학교교수 ) - 컴퓨터소사이어티 김정태 ( 이화여자대학교교수 ) - 신호처리소사이어티 정길도 ( 전북대학교교수 ) - 시스템및제어소사이어티 원영진 ( 부천대학교교수 ) - 산업전자소사이어티 산업체부회장 김창용 ( 삼성전자 DMC 연구소장 ) 오성목 (KT 사장 ) 협동부회장김기호 ( 에스프린팅솔루션 대표이사 ) 김달수 ( 티엘아이대표이사 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원실장 ) 김수원 ( 고려대학교교수 ) 김종대 ( 한국전자통신연구원책임연구원 ) 김철동 ( 세원텔레텍대표이사 ) 남상엽 ( 국제대학교교수 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박형무 ( 동국대학교교수 ) 성하경 ( 전자부품연구원선임연구본부장 ) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 엄낙웅 ( 한국전자통신연구원소장 ) 유현규 ( 한국전자통신연구원책임연구원 ) 유회준 (KAIST 교수 ) 윤기방 ( 인천대학교교수 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이승훈 ( 서강대학교교수 ) 이윤종 ( 동부하이텍부사장 ) 이재훈 ( 유정시스템 사장 ) 장태규 ( 중앙대학교교수 ) 전성호 ( 솔루엠대표이사 ) 정은승 ( 삼성전자 부사장 ) 정 준 ( 쏠리드대표이사 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 조상복 ( 울산대학교교수 ) 최승원 ( 한양대학교교수 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 호요성 ( 광주과학기술원교수 ) 상임이사 강문식 ( 강릉원주대학교교수 ) - 교육 / 홍보 강성원 ( 한국전자통신연구원본부장 ) - 표준화 공준진 ( 삼성전자 마스터 ) - 사업 김선욱 ( 고려대학교교수 ) - 학술 ( 추계 ) 김수환 ( 서울대학교교수 ) - 논문 김종옥 ( 고려대학교교수 ) - 정보화 노원우 ( 연세대학교교수 ) - 총무 동성수 ( 용인송담대학교교수 ) - 교육 박종일 ( 한양대학교교수 ) - 회원 백광현 ( 중앙대학교교수 ) - 산학연 범진욱 ( 서강대학교교수 ) - 사업 심동규 ( 광운대학교교수 ) - SPC 예종철 (KAIST 교수 ) - 사업 유창동 (KAIST 교수 ) - 사업 윤석현 ( 단국대학교교수 ) - 사업 이충용 ( 연세대학교교수 ) - 재무 이혁재 ( 서울대학교교수 ) - 기획 / 논문 전병우 ( 성균관대학교교수 ) - 학술 ( 하계 ) 정종문 ( 연세대학교교수 ) - 국제협력 / 학술 황인철 ( 강원대학교교수 ) - 회지 산업체이사고요환 ( 매그나칩반도체전무 ) 김태진 ( 더즈텍사장 ) 김현수 ( 삼성전자 상무 ) 박동일 ( 현대자동차 전무 ) 송창현 ( 네이버 CTO) 오의열 (LG 디스플레이 연구위원 ) 윤영권 ( 삼성전자 마스터 ) 조영민 ( 스카이크로스코리아사장 ) 조재문 ( 삼성전자 전무 ) 차종범 ( 구미전자정보기술원원장 ) 최승종 (LG 전자 전무 ) 최진성 (SK텔레콤전무 ) 함철희 ( 삼성전자 마스터 ) 홍국태 (LG 전자 연구위원 ) 이 사 공배선 ( 성균관대학교교수 ) - 학술 ( 하계 ) 권기룡 ( 부경대학교교수 ) - 학술 ( 하계 ) 권기원 ( 성균관대학교교수 ) - 국제협력 권종기 ( 한국전자통신연구원책임연구원 ) - 사업 권혁인 ( 중앙대학교교수 ) - 산학연 김경기 ( 대구대학교교수 ) - 사업 / 산학연 김도현 ( 제주대학교교수 ) - 기획 김동규 ( 한양대학교교수 ) - 회지 김동순 ( 전자부품연구원센터장 ) - 학술 ( 하계 ) 김성진 ( 경남대학교교수 ) - ITC-CSCC 김성호 ( 한국산업기술평가관리원팀장 ) - 학술 ( 하계 ) 김소영 ( 성균관대학교교수 ) - 학술 ( 하계 )

9 김용신 ( 고려대학교교수 ) - 학술 ( 추계 ) 김원종 ( 한국전자통신연구원팀장 ) - 표준화 김원하 ( 경희대학교교수 ) - 학술 ( 하계 ) 김지훈 ( 서울과학기술대학교교수 ) - 총무 / 기획 김창수 ( 고려대학교교수 ) - 회원 김태욱 ( 연세대학교교수 ) - 재무 김태원 ( 상지영서대학교교수 ) - 기획 김형탁 ( 홍익대학교교수 ) - 사업 노태문 ( 한국전자통신연구원책임연구원 ) - 학술 ( 하계 ) 류수정 ( 삼성전자상무 ) - 사업 박수현 ( 국민대학교교수 ) - 기획 박현창 ( 동국대학교교수 ) - 교육 서춘원 ( 김포대학교교수 ) - 학술 ( 하계 ) 성해경 ( 한양여자대학교교수 ) - 교육 / 홍보 손광준 ( 한국산업기술평가관리원 PD) - 총무 송민규 ( 동국대학교교수 ) - 회지 송병철 ( 인하대학교교수 ) - 사업 /SPC 송상헌 ( 중앙대학교교수 ) - 교육 신지태 ( 성균관대학교교수 ) - 학술 ( 하계 ) 심대용 (SK하이닉스상무 ) - 논문 심정연 ( 강남대학교교수 ) - 논문 / 국제협력 안태원 ( 동양미래대학교교수 ) - 논문 안현식 ( 동명대학교교수 ) - 기획 연규봉 ( 자동차부품연구원센터장 ) - 표준화 이광엽 ( 서경대학교교수 ) - 기획 / 산학연 이병근 ( 광주과학기술원교수 ) - 회지 이상근 ( 중앙대학교교수 ) - 기획 / 하계 이성수 ( 숭실대학교교수 ) - 기획 이승호 ( 한밭대학교교수 ) - 회지 이용구 ( 한림성심대학교교수 ) - 논문 이윤식 (UNIST 교수 ) - 교육 / 홍보 이찬호 ( 숭실대학교교수 ) - 교육 / 홍보 이창우 ( 가톨릭대학교교수 ) - 국제협력 이한호 ( 인하대학교교수 ) - 학술 ( 하계 ) 이현중 ( 한국전자통신연구원박사 ) - 논문 인치호 ( 세명대학교교수 ) - 학술 ( 하계 ) 정승원 ( 동국대학교교수 ) - 회지 / 학술 ( 추계 ) 정영모 ( 한성대학교교수 ) - 논문 제민규 (KAIST 교수 ) - 사업 조명진 ( 네이버박사 ) - 정보화 조성현 ( 한양대학교교수 ) - 국제협력 최강선 ( 한국기술교육대학교교수 ) - 학술 ( 하계 ) 한완옥 ( 여주대학교교수 ) - 사업 한재호 ( 고려대학교교수 ) - 정보화 한종기 ( 세종대학교교수 ) - 학술 ( 하계 )/SPC 협동이사 강봉순 ( 동아대학교교수 ) - ITC-CSCC 고정환 ( 인하공업전문대학교수 ) - 산학연 구자일 ( 인하공업전문대학교수 ) - 교육 권구덕 ( 강원대학교교수 ) - 회지 김상효 ( 성균관대학교교수 ) - 학술 ( 하계 ) 김성호 ( 영남대학교교수 ) - 회원 김수찬 ( 한경대학교교수 ) - 논문 김영로 ( 명지전문대학교수 ) - 학술 김영선 ( 대림대학교교수 ) - 산학연 김영진 ( 한국항공대학교교수 ) - 회지 김용석 ( 성균관대학교교수 ) - 학술 ( 하계 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) - 사업 김 현 ( 부천대학교교수 ) - 학술 김현진 ( 단국대학교교수 ) - 사업 남기창 ( 동국대학교교수 ) - 학술 남대경 ( 전자부품연구원선임연구원 ) - 사업 박기찬 ( 건국대학교교수 ) - 표준화 박성홍 (KAIST 교수 ) - 사업 박영준 ( 홍익대학교교수 ) - 총무 박원규 ( 한국나노기술원본부장 ) - 표준화 박재형 ( 전남대학교교수 ) - 논문 박철수 ( 광운대학교교수 ) - SPC 박희민 ( 상명대학교교수 ) - 회원 백상헌 ( 고려대학교교수 ) - 국제협력 변영재 (UNIST 교수 ) - 학술 ( 추계 ) 선우경 ( 이화여자대학교교수 ) - 논문 송진호 ( 연세대학교교수 ) - 국제협력 안성수 ( 명지전문대학교수 ) - 교육 안춘기 ( 고려대학교교수 ) - 정보화 윤상민 ( 국민대학교교수 ) - 정보화 윤성로 ( 서울대학교교수 ) - 회원 이가원 ( 충남대학교교수 ) - 논문 이강윤 ( 성균관대학교교수 ) - 학술 ( 추계 ) 이동영 ( 명지전문대학교수 ) - 사업 이문구 ( 김포대학교교수 ) - 회원 / 정보화 이민영 ( 반도체산업협회본부장 ) - 산학연 이영주 ( 광운대학교교수 ) - 산학연 이채은 ( 인하대학교교수 ) - 학술 ( 추계 ) 임기택 ( 전자부품연구원센터장 ) - 사업 임동건 ( 한국교통대학교교수 ) - 사업 임재열 ( 한국기술교육대학교교수 ) - 학술 ( 하계 ) 장익준 ( 경희대학교교수 ) - 기획 전세영 (UNIST 교수 ) - 사업 정방철 ( 충남대학교교수 ) - 논문 정연호 ( 부경대학교교수 ) - ITC-CSCC 정원기 (UNIST 교수 ) - 사업 정윤호 ( 한국항공대학교교수 ) - 기획 차철웅 ( 전자부품연구원책임연구원 ) - 표준화 채관엽 ( 삼성전자박사 ) - 학술 ( 추계 ) 채영철 ( 연세대학교교수 ) - 총무 최용수 ( 성결대학교교수 ) - 논문 최윤경 ( 삼성전자마스터 ) - 산학연 한영선 ( 경일대학교교수 ) - 학술 ( 하계, 추계 )/SPC 한태희 ( 성균관대학교교수 ) - 교육 / 홍보 허재두 ( 한국전자통신연구원본부장 ) - ITC-CSCC 지부장명단 강원지부 임해진 ( 강원대학교교수 ) 광주 전남지부 이배호 ( 전남대학교교수 ) 대구 경북지부 박정일 ( 영남대학교교수 ) 대전 충남지부 주성순 ( 한국전자통신연구원박사 ) 부산 경남 울산지부 강대성 ( 동아대학교교수 ) 전북지부 송제호 ( 전북대학교교수 ) 제주지부 김경연 ( 제주대학교교수 ) 충북지부 최영규 ( 한국교통대학교교수 ) 호서지부 장은영 ( 공주대학교교수 ) 일 본 백인천 (AIZU대학교교수 ) 미 국 최명준 ( 텔레다인박사 ) 러시아지부 Prof. Edis B. TEN (National University of Science and Technology)

10 The Magazine of the IEIE 자문위원회 위원회명단 위 원 장 김영권 ( 명예회장 ) 부위원장 홍승홍 ( 명예회장 ) 위 원 고성제 ( 고려대학교교수 ) 구용서 ( 단국대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김성대 (KAIST 교수 ) 김수중 ( 명예회장 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 나정웅 ( 명예회장 ) 문영식 ( 한양대학교교수 ) 박규태 ( 명예회장 ) 박성한 ( 명예회장 ) 박진옥 ( 명예회장 ) 박항구 ( 소암시스텔회장 ) 서정욱 ( 명예회장 ) 성굉모 ( 서울대학교명예교수 ) 윤종용 ( 삼성전자상임고문 ) 이문기 ( 명예회장 ) 이상설 ( 명예회장 ) 이재홍 ( 서울대학교교수 ) 이진구 ( 동국대학교석좌교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 임제탁 ( 명예회장 ) 전국진 ( 서울대학교교수 ) 전홍태 ( 중앙대학교교수 ) 정정화 ( 한양대학교석좌교수 ) 기획위원회 위 원 장 이혁재 ( 서울대학교교수 ) 위 원 김지훈 ( 서울과학기술대학교교수 ) 김태원 ( 상지영서대학교교수 ) 안현식 ( 동명대학교교수 ) 이광엽 ( 서경대학교교수 ) 이상근 ( 중앙대학교교수 ) 이성수 ( 숭실대학교교수 ) 장익준 ( 경희대학교교수 ) 정윤호 ( 항공대학교교수 ) 학술연구위원회 위 원 장 전병우 ( 성균관대학교교수 ) - 하계김선욱 ( 고려대학교교수 ) - 추계 위 원 강문식 ( 강릉원주대학교교수 ) 공배선 ( 성균관대학교교수 ) 권기룡 ( 부경대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김상효 ( 성균관대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김소영 ( 성균관대학교교수 ) 김영로 ( 명지전문대학교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원하 ( 경희대학교교수 ) 김철우 ( 고려대학교교수 ) 김 현 ( 부천대학교교수 ) 남기창 ( 동국대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 변영재 (UNIST 교수 ) 서춘원 ( 김포대학교교수 ) 신지태 ( 성균관대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이상근 ( 중앙대학교교수 ) 이채은 ( 인하대학교교수 ) 이한호 ( 인하대학교교수 ) 인치호 ( 세명대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 정승원 ( 동국대학교교수 ) 채관엽 ( 삼성전자박사 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 한종기 ( 세종대학교교수 ) 황인철 ( 강원대학교교수 ) 논문편집위원회 위 원 장 김수환 ( 서울대학교교수 ) 이혁재 ( 서울대학교교수 ) 위 원 김수찬 ( 한경대학교교수 ) 박재형 ( 전남대학교교수 ) 선우경 ( 이화여자대학교교수 ) 심대용 (SK하이닉스상무 ) 심정연 ( 강남대학교교수 ) 안태원 ( 동양미래대학교교수 ) 유명식 ( 숭실대학교교수 ) 이가원 ( 충남대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이현중 ( 한국전자통신연구원박사 ) 정방철 ( 충남대학교교수 ) 정영모 ( 한성대학교교수 ) 최용수 ( 성결대학교교수 ) 한태희 ( 성균관대학교교수 ) 홍민철 ( 숭실대학교교수 ) 국제협력위원회 위 원 장 정종문 ( 연세대학교교수 ) 위 원 권기원 ( 성균관대학교교수 백상헌 ( 고려대학교교수 ) 송진호 ( 연세대학교교수 ) 심정연 ( 강남대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 조성현 ( 한양대학교교수 ) 산학연협동위원회 위 원 장 백광현 ( 중앙대학교교수 ) 위 원 고정환 ( 인하공업전문대학교수 ) 권혁인 ( 중앙대학교교수 ) 김경기 ( 대구대학교교수 ) 김용신 ( 고려대학교교수 ) 남병규 ( 충남대학교교수 ) 민경식 ( 국민대학교교수 ) 박주현 ( 픽셀플러스교수 ) 이광엽 ( 서경대학교교수 ) 이민영 ( 반도체산업협회본부장 ) 이석호 ( 한국전자통신연구원책임연구원 ) 이영주 ( 광운대학교교수 ) 이우주 ( 한국전자통신연구원책임연구원 ) 인치호 ( 세명대학교교수 ) 정방철 ( 충남대학교교수 ) 최윤경 ( 삼성전자마스터 ) 한태희 ( 성균관대학교교수 ) 회원관리위원회 위 원 장 박종일 ( 한양대학교교수 ) 위 원 김성호 ( 영남대학교교수 ) 김진상 ( 경희대학교교수 ) 김창수 ( 고려대학교교수 ) 박희민 ( 상명대학교교수 ) 유창식 ( 한양대학교교수 ) 윤성로 ( 서울대학교교수 ) 이문구 ( 김포대학교교수 ) 이상근 ( 중앙대학교교수 ) 최강선 ( 한국과학기술교육대학교교수 )

11 회지편집위원회 위 원 장 황인철 ( 강원대학교교수 ) 위 원 권구덕 ( 강원대학교교수 ) 김동규 ( 한양대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김 현 ( 부천대학교교수 ) 김호철 ( 을지대학교교수 ) 박종선 ( 고려대학교교수 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 심정연 ( 강남대학교교수 ) 여희주 ( 대진대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이찬수 ( 영남대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정승원 ( 동국대학교교수 ) 최용수 ( 성결대학교교수 ) 허 준 ( 고려대학교교수 ) 사업위원회 위 원 장 공준진 ( 삼성전자마스터 ) 범진욱 ( 서강대학교교수 ) 예종철 (KAIST 교수 ) 유창동 (KAIST 교수 ) 윤석현 ( 단국대학교교수 ) 위 원 권종기 ( 한국전자통신연구원책임연구원 ) 김 훈 (Synopsys Korea 이사 ) 김경기 ( 대구대학교교수 ) 김용훈 ( 옴니C&S 대표이사 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) 김현진 ( 단국대학교교수 ) 김형탁 ( 홍익대학교교수 ) 남대경 ( 전자부품연구원선임연구원 ) 류수정 ( 삼성전자 상무 ) 류현석 ( 삼성전자 마스터 ) 박성홍 (KAIST 교수 ) 변대석 ( 삼성전자 마스터 ) 송병철 ( 인하대학교교수 ) 이동영 ( 명지전문대학교수 ) 이영주 ( 광운대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 임동건 ( 한국교통대학교교수 ) 전세영 (UNIST 교수 ) 정원기 (UNIST 교수 ) 제민규 (KAIST 교수 ) 조상연 ( 삼성전자 상무 ) 최용근 ( 단국대학교교수 ) 한완옥 ( 여주대학교교수 ) 교육홍보위원회 위 원 장 강문식 ( 강릉원주대학교교수 ) 동성수 ( 용인송담대학교교수 ) 위 원 구자일 ( 인하공업전문대학교수 ) 박현창 ( 동국대학교교수 ) 성해경 ( 한양여자대학교교수 ) 송상헌 ( 중앙대학교교수 ) 안성수 ( 명지전문대학교수 ) 이윤식 (UNIST 교수 ) 이찬호 ( 숭실대학교교수 ) 한태희 ( 성균관대학교교수 표준화위원회 위 원 장 강성원 ( 한국전자통신연구원본부장 ) 위 원 구정래 ( 한국심사자격인증원팀장 ) 권기원 ( 성균관대학교교수 ) 김동규 ( 한양대학교교수 ) 김시호 ( 연세대학교교수 ) 김옥수 ( 인피니언코리아이사 ) 김원종 ( 한국전자통신연구원실장 ) 박기찬 ( 건국대학교교수 ) 박원규 ( 한국나노기술원본부장 ) 박주현 ( 픽셀플러스실장 ) 변지수 ( 경북대학교교수 ) 송영재 ( 성균관대학교교수 ) 연규봉 ( 자동차부품연구원센터장 ) 윤대원 ( 법무법인다래이사 ) 이민영 ( 한국반도체산업협회본부장 ) 이상근 ( 성균관대학교교수 ) 이상미 (IITP 팀장 ) 이서호 ( 한국기계전기전자시험연구원과장 ) 이성수 ( 숭실대학교교수 ) 이종묵 (SOL 대표 ) 이하진 ( 한국기초과학지원연구원책임연구원 ) 이해성 ( 전주대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 좌성훈 ( 서울과학기술대학교교수 ) 차철웅 ( 전자부품연구원책임연구원 ) 한태수 ( 국가기술표준원 / 디스플레이산업협회표준코디 ) 홍용택 ( 서울대학교교수 ) 정보화위원회 위 원 장 김종옥 ( 고려대학교교수 ) 위 원 강의성 ( 순천대학교교수 ) 김승구 ( 충북대학교교수 ) 김형탁 ( 홍익대학교교수 ) 안춘기 ( 고려대학교교수 ) 유윤섭 ( 한경대학교교수 ) 윤상민 ( 국민대학교교수 ) 이문구 ( 김포대학교교수 ) 이한호 ( 인하대학교교수 ) 조명진 ( 네이버박사 ) 최강선 ( 한국기술교육대학교교수 ) 한재호 ( 고려대학교교수 ) 지부담당위원회 위 원 장 이장명 ( 부산대학교교수 ) 위 원 강대성 ( 동아대학교교수 ) 김경연 ( 제주대학교교수 ) 박정일 ( 영남대학교교수 ) 백인천 (AIZU대학교교수 ) 송제호 ( 전북대학교교수 ) 이배호 ( 전남대학교교수 ) 임해진 ( 강원대학교교수 ) 장은영 ( 공주대학교교수 ) 주성순 ( 한국전자통신연구원박사 ) 최명준 ( 텔레다인박사 ) 최영규 ( 한국교통대학교교수 ) Prof. Edis B. TEN (National University of Science and Technology) 선거관리위원회 위 원 장 이진구 ( 명예회장 ) 위 원 김지훈 ( 서울과학기술대학교교수 ) 노원우 ( 연세대학교교수 ) 박종일 ( 한양대학교교수 ) 이충용 ( 연세대학교교수 ) 이혁재 ( 서울대학교교수 ) 전병우 ( 성균관대학교교수 )

12 The Magazine of the IEIE 포상위원회 위 원 장 고성제 ( 고려대학교교수 ) 위 원 김수환 ( 서울대학교교수 ) 노원우 ( 연세대학교교수 ) 백준기 ( 중앙대학교교수 ) 유창동 (KAIST 교수 ) 이충용 ( 연세대학교교수 ) 전병우 ( 성균관대학교교수 ) 재정위원회 위원장홍대식 ( 연세대학교교수 ) 위원고성제 ( 고려대학교교수 ) 문영식 ( 한양대학교교수 ) 박병국 ( 서울대학교교수 ) 백준기 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) 정준 ( 쏠리드대표이사 ) 정교일 ( 한국전자통신연구원책임연구원 ) 한대근 ( 실리콘웍스대표이사 ) 인사위원회 위 원 장 홍대식 ( 연세대학교교수 ) 위 원 노원우 ( 연세대학교교수 ) 백광현 ( 중앙대학교교수 ) 백준기 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) SPC 위원회 위 원 장 심동규 ( 광운대학교교수 ) 자문위원 조민호 ( 고려대학교교수 ) 김선욱 ( 고려대학교교수 ) 위 원 김정태 ( 이화여자대학교교수 ) 김태석 ( 광운대학교교수 ) 박철수 ( 광운대학교교수 ) 송병철 ( 인하대학교교수 ) 신원용 ( 단국대학교교수 ) 이상근 ( 중앙대학교교수 ) 이재훈 ( 고려대학교교수 ) 이채은 ( 인하대학교교수 ) 전병우 ( 성균관대학교교수 ) 정승원 ( 동국대학교교수 ) 조남익 ( 서울대학교교수 ) 조성현 ( 한양대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 한종기 ( 세종대학교교수 ) 황인철 ( 강원대학교교수 ) JSTS 위원회 위 원 장 Hoi-Jun Yoo (KAIST) 부위원장 Dim-Lee Kwong (Institute of Microelectronics) 위 원 Akira Matsuzawa (Tokyo Institute of Technology) Byeong-Gyu Nam (Chungnam National Univ.) Byung-Gook Park (Seoul National Univ.) Cary Y. Yang (Santa Clara Univ.) Chang sik Yoo (Hanyang Univ.) Chennupati Jagadish (Australian National Univ.) Deog-Kyoon Jeong (Seoul National Univ.) Dong S. Ha (Virginia Tech) Eun Sok Kim (USC) Gianaurelio Cuniberti (Dresden Univ. of Technology) Hi-Deok Lee (Chungnam Univ.) Hong June Park (POSTECH) Hyoung sub Kim (Sungkyunkwan Univ.) Hyun-Kyu Yu (ETRI) Jamal Deen (McMaster University, Canada) Jin wook Burm (Sogang Univ.) Jong-Uk Bu (Sen Plus) Jun young Park (UX Factory) Kofi Makinwa (Delft Univ. of Technology) Meyya Meyyappan (NASA Ames Research Center) Min-kyu Song (Dongguk Univ.) Moon-Ho Jo (POSTECH) Nobby Kobayashi (UC Santa Cruz) Paul D. Franzon (North Carolina State Univ.) Rino Choi (Inha Univ.) Sang-Hun Song (Chung-Ang Univ.) Sang-Sik Park (Sejong Iniv.) Seung-Hoon Lee (Sogang Univ.) Shen-Iuan Liu (National Taiwan Univ.) Shi ho Kim (Yonsei Univ.) Stephen A. Campbell (Univ. of Minnesota) Sung Woo Hwang (Korea Univ.) Tadahiro Kuroda (Keio Univ.) Tae-Song Kim (KIST) Tsu-Jae King Liu (UC Berkeley) Vojin G. Oklobdzija (Univ. of Texas at Dallas) Weileun Fang (National Tsing Hua Univ.) Woo geun Rhee (Tsinghua Univ.) Yang-Kyu Choi (KAIST) Yogesh B. Gianchandani (Univ. of Michigan, Ann Arbor) Yong-Bin Kim (Northeastern Univ.) Yuhua Cheng (Peking Univ.)

13 Society 명단 통신소사이어티 회 장 이흥노 ( 광주과학기술원교수 ) 부 회 장 윤석현 ( 단국대학교교수 ) 이인규 ( 고려대학교교수 ) 김재현 ( 아주대학교교수 ) 김선용 ( 건국대학교교수 ) 김진영 ( 광운대학교교수 ) 김 훈 ( 인천대학교교수 ) 유명식 ( 숭실대학교교수 ) 오정근 ( ATNS 대표이사 ) 협동부회장 김병남 ( 에이스테크놀로지연구소장 ) 김연은 ( 브로던대표이사 ) 김영한 ( 숭실대학교교수 ) 김용석 (( 주 ) 답스대표이사 ) 김인경 (LG 전자상무 ) 류승문 (( 사 ) 개인공간서비스협회수석부의장 ) 박용석 ( LICT 대표이사 ) 방승찬 ( 한국전자통신연구원부장 ) 연철흠 (LGT 상무 ) 이승호 ( 하이게인부사장 ) 이재훈 ( 유정시스템 대표이사 ) 정진섭 ( 이노와이어리스부사장 ) 정현규 ( 한국전자통신연구원부장 ) 이 사 김성훈 ( 한국전자통신연구원박사 ) 김정호 ( 이화여자대학교교수 ) 노윤섭 ( 한국전자통신연구원박사 ) 방성일 ( 단국대학교교수 ) 서철헌 ( 숭실대학교교수 ) 성원진 ( 서강대학교교수 ) 신요안 ( 숭실대학교교수 ) 윤종호 ( 한국항공대학교교수 ) 윤지훈 ( 서울과학기술대학교교수 ) 이재훈 ( 동국대학교교수 ) 이종창 ( 홍익대학교교수 ) 임종태 ( 홍익대학교교수 ) 장병수 ( 이노벨류네트웍스부사장 ) 조인호 ( 에이스테크놀로지박사 ) 최진식 ( 한양대학교교수 ) 최천원 ( 단국대학교교수 ) 허서원 ( 홍익대학교교수 ) 허 준 ( 고려대학교교수 ) 간 사 김중헌 ( 중앙대학교교수 ) 신오순 ( 숭실대학교교수 ) 감 사 이재진 ( 숭실대학교교수 ) 이호경 ( 홍익대학교교수 ) 연구회위원장 황승훈 ( 동국대학교교수 ) - 통신연구회 유태환 ( 한국전자통신연구원팀장 ) - 스위칭및라우팅연구회 조춘식 ( 한국항공대학교교수 ) - 마이크로파및전파전파연구회 이철기 ( 아주대학교교수 ) - ITS 연구회 김동규 ( 한양대학교교수 ) - 정보보안시스템연구회 김강욱 ( 경북대학교교수 ) - 군사전자연구회 허재두 ( 한국전자통신연구원본부장 ) - 무선 PAN/BAN연구회 김봉태 ( 한국전자통신연구원소장 ) - 미래네트워크연구회 반도체소사이어티 회 장 전영현 ( 삼성SDI 사장 ) 자문위원 임형규 (SK 부회장 ) 권오경 ( 한양대학교교수 ) 신윤승 ( 삼성전자고문 ) 신현철 ( 한양대학교교수 ) 우남성 ( 삼성전자사장 ) 선우명훈 ( 아주대학교교수 ) 수석부회장 조중휘 ( 인천대학교교수 ) 연구담당부회장조경순 ( 한국외국어대학교교수 ) 사업담당부회장김진상 ( 경희대학교교수 ) 학술담당부회장범진욱 ( 서강대학교교수 ) 총무이사 공준진 ( 삼성전자마스터 ) 김동규 ( 한양대학교교수 ) 박종선 ( 고려대학교교수 ) 이한호 ( 인하대학교교수 ) 편집이사 인치호 ( 세명대학교교수 ) 이희덕 ( 충남대학교교수 ) 한태희 ( 성균관대학교교수 ) 학술이사 강진구 ( 인하대학교교수 ) 김영환 ( 포항공과대학교수 ) 김재석 ( 연세대학교교수 ) 김철우 ( 고려대학교교수 ) 노정진 ( 한양대학교교수 ) 박성정 ( 건국대학교교수 ) 박홍준 ( 포항공과대학교수 ) 변영재 (UNIST 교수 ) 송민규 ( 동국대학교교수 ) 신현철 ( 광운대학교교수 ) 유창식 ( 한양대학교교수 ) 이혁재 ( 서울대학교교수 ) 전민용 ( 충남대학교교수 ) 정연모 ( 경희대학교교수 ) 정원영 ( 인제대학교교수 ) 정진균 ( 전북대학교교수 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 차호영 ( 홍익대학교교수 ) 최우영 ( 연세대학교교수 ) 사업이사 강성호 ( 연세대학교교수 ) 공배선 ( 성균관대학교교수 ) 권기원 ( 성균관대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김소영 ( 성균관대학교교수 ) 김시호 ( 연세대학교교수 ) 송용호 ( 한양대학교교수 ) 엄낙웅 ( 한국전자통신연구원소장 ) 윤광섭 ( 인하대학교교수 ) 조대형 ( 스위스로잔연방공대총장수석보좌관 ) 조상복 ( 울산대학교교수 ) 조태제 ( 삼성전기마스터 ) 최윤경 ( 삼성전자마스터 ) 최준림 ( 경북대학교교수 ) 산학이사 강태원 ( 넥셀사장 ) 김경수 ( 넥스트칩대표 ) 김달수 (TLI 대표 ) 김동현 (ICTK 사장 ) 김보은 ( 라온텍사장 ) 김준석 (ADT 사장 ) 변대석 ( 삼성전자마스터 ) 손보익 ( 실리콘웍스대표 ) 송태훈 ( 휴인스사장 ) 신용석 ( 케이던스코리아사장 ) 안흥식 (Xilinx Korea 지사장 ) 이도영 ( 옵토레인사장 ) 이서규 ( 픽셀플러스대표 ) 이윤종 ( 동부하이텍부사장 ) 이장규 ( 텔레칩스대표 ) 이종열 (FCI 부사장 ) 정해수 (Synopsys 사장 ) 최승종 (LG 전자전무 ) 허 염 ( 실리콘마이터스대표 ) 황규철 ( 삼성전자상무 ) 황정현 ( 아이닉스대표 ) 재무이사 김희석 ( 청주대학교교수 ) 임신일 ( 서경대학교교수 ) 회원이사 이광엽 ( 서경대학교교수 ) 최기영 ( 서울대학교교수 ) 감 사 김경기 ( 대구대학교교수 ) 최중호 ( 서울시립대학교교수 ) 간 사 강석형 (UNIST 교수 ) 김영민 ( 광운대학교교수 ) 김종선 ( 홍익대학교교수 ) 김형탁 ( 홍익대학교교수 ) 문 용 ( 숭실대학교교수 ) 백광현 ( 중앙대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이성수 ( 숭실대학교교수 ) 연구회위원장 차호영 ( 홍익대학교교수 ) - 반도체재료부품연구회 전민용 ( 충남대학교교수 ) - 광파및양자전자공학연구회 인치호 ( 세명대학교교수 ) - SoC설계연구회 유창식 ( 한양대학교교수 ) - RF집적회로연구회 정원영 ( 인제대학교교수 ) - PCB&Package연구회

14 The Magazine of the IEIE 컴퓨터소사이어티 회 장 김승천 ( 한성대학교교수 ) 명예회장 김형중 ( 고려대학교교수 ) 박인정 ( 단국대학교교수 ) 박춘명 ( 한국교통대학교교수 ) 신인철 ( 단국대학교교수 ) 안병구 ( 홍익대학교교수 ) 안현식 ( 동명대학교교수 ) 이규대 ( 공주대학교교수 ) 허 영 ( 한국전기연구원본부장 ) 홍유식 ( 상지대학교교수 ) 자문위원 남상엽 ( 국제대학교교수 ) 이강현 ( 조선대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 감 사 성해경 ( 한양여자대학교교수 ) 이문구 ( 김포대학교교수 ) 부 회 장 강문식 ( 강릉원주대학교교수 ) 김도현 ( 제주대학교교수 ) 윤은준 ( 경일대학교교수 ) 정용규 ( 을지대학교교수 ) 협동부회장 권호열 ( 강원대학교교수 ) 김영학 ( 한국산업기술평가관리원본부장 ) 조민호 ( 고려대학교교수 ) 최용수 ( 성결대학교교수 ) 총무이사 김진홍 ( 성균관대학교교수 ) 진 훈 ( 경기대학교교수 ) 황인정 ( 명지병원책임연구원 ) 재무이사 박수현 ( 국민대학교교수 ) 홍보이사 이덕기 ( 연암공과대학교교수 ) 편집이사 강병권 ( 순천향대학교교수 ) 기장근 ( 공주대학교교수 ) 변영재 (UNIST 교수 ) 심정연 ( 강남대학교교수 ) 이석환 ( 동명대학교교수 ) 정혜명 ( 김포대학교교수 ) 진성아 ( 성결대학교교수 ) 학술이사 강상욱 ( 상명대학교교수 ) 김선욱 ( 고려대학교교수 ) 김천식 ( 세종대학교교수 ) 노광현 ( 한성대학교교수 ) 우운택 (KAIST 교수 ) 이문구 ( 김포대학교교수 ) 이민호 ( 경북대학교교수 ) 이성로 ( 목포대학교교수 ) 이찬수 ( 영남대학교교수 ) 이후진 ( 한성대학교교수 ) 한규필 ( 금오공과대학교교수 ) 한태화 ( 연세대의료원연구팀장 ) 황성운 ( 홍익대학교교수 ) 사업이사 김홍균 ( 이화여자대학교교수 ) 박세환 ( 한국과학기술정보연구원전문연구위원 ) 박승창 ( 유오씨사장 ) 전병태 ( 한경대학교교수 ) 조병순 ( 시엔시인스트루먼트사장 ) 산학이사 김대휘 ( 한국정보통신대표이사 ) 노소영 ( 월송출판대표이사 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오승훈 (LG C&S 과장 ) 유성철 (LG 히다찌산학협력팀장 ) 조병영 ( 태진인포텍전무 ) 논문편집위원장 진 훈 ( 경기대학교교수 ) 연구회위원장 윤은준 ( 경일대학교교수 ) - 융합컴퓨팅연구회 이민호 ( 경북대학교교수 ) - 인공지능 / 신경망 / 퍼지연구회 강문식 ( 강릉원주대교수 ) - 멀티미디어연구회 진 훈 ( 경기대학교교수 ) - 유비쿼터스시스템연구회 김도현 ( 제주대학교교수 ) - M2M/IoT 연구회 우운택 (KAIST 교수 ) - 증강휴먼연구회 황성운 ( 홍익대학교교수 ) - 정보보안연구회 신호처리소사이어티 회 장 김정태 ( 이화여자대학교교수 ) 자문위원 김홍국 ( 광주과학기술원교수 ) 이영렬 ( 세종대학교교수 ) 전병우 ( 성균관대학교교수 ) 조남익 ( 서울대학교교수 ) 홍민철 ( 숭실대학교교수 ) 부 회 장 김문철 (KAIST 교수 ) 김창익 (KAIST 교수 ) 박종일 ( 한양대학교교수 ) 심동규 ( 광운대학교교수 ) 협동부회장 강동욱 ( 정보통신기술진흥센터 CP) 김진웅 ( 한국전자통신연구원그룹장 ) 백준기 ( 중앙대학교교수 ) 변혜란 ( 연세대학교교수 ) 신원호 (LG 전자상무 ) 양인환 (TI Korea 이사 ) 오은미 ( 삼성전자마스터 ) 이병욱 ( 이화여자대학교교수 ) 지인호 ( 홍익대학교교수 ) 최병호 ( 전자부품연구원센터장 ) 이 사 강현수 ( 충북대학교교수 ) 권기룡 ( 부경대학교교수 ) 김남수 ( 서울대학교교수 ) 김창수 ( 고려대학교교수 ) 김해광 ( 세종대학교교수 ) 박구만 ( 서울과학기술대학교교수 ) 박인규 ( 인하대학교교수 ) 서정일 ( 한국전자통신연구원선임연구원 ) 신지태 ( 성균관대학교교수 ) 엄일규 ( 부산대학교교수 ) 유양모 ( 서강대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상윤 ( 연세대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 장길진 ( 경북대학교교수 ) 장준혁 ( 한양대학교교수 ) 한종기 ( 세종대학교교수 ) 협동이사 권구락 ( 조선대학교교수 ) 김기백 ( 숭실대학교교수 ) 김상효 ( 성균관대학교교수 ) 김용환 ( 전자부품연구원선임연구원 ) 김재곤 ( 한국항공대학교교수 ) 박현진 ( 성균관대학교교수 ) 박호종 ( 광운대학교교수 ) 서영호 ( 광운대학교교수 ) 신재섭 ( 픽스트리대표이사 ) 신종원 ( 광주과학기술원교수 ) 양현종 (UNIST 교수 ) 이기승 ( 건국대학교교수 ) 이종설 ( 전자부품연구원책임연구원 ) 임재윤 ( 제주대학교교수 ) 장세진 ( 전자부품연구원센터장 ) 최강선 ( 한국기술교육대학교교수 ) 최승호 ( 서울과학기술대학교교수 ) 홍성훈 ( 전남대학교교수 ) 강상원 ( 한양대학교교수 ) 김응규 ( 한밭대학교교수 ) 최준원 ( 한양대학교교수 ) 구형일 ( 아주대학교교수 ) 박상윤 ( 명지대학교교수 ) 김기백 ( 숭실대학교교수 ) 강제원 ( 이화여자대학교교수 ) 이상철 ( 인하대학교교수 ) 전세영 (UNIST 교수 ) 감 사 김원하 ( 경희대학교교수 ) 최해철 ( 한밭대학교교수 ) 총무간사 허용석 ( 아주대학교교수 ) 연구회위원장 김무영 ( 세종대학교교수 ) - 음향및신호처리연구회 송병철 ( 인하대학교교수 ) - 영상신호처리연구회 이찬수 ( 영남대학교교수 ) - 영상이해연구회 예종철 (KAIST 교수 ) - 바이오영상신호처리연구회

15 시스템및제어소사이어티 회 장 정길도 ( 전북대학교교수 ) 부 회 장 김영철 ( 군산대학교교수 ) 이경중 ( 연세대학교교수 ) 유정봉 ( 공주대학교교수 ) 주영복 ( 한국기술교육대학교교수 ) 감 사 김영진 ( 생산기술연구원박사 ) 남기창 ( 동국대학교교수 ) 총무이사 권종원 ( 한국산업기술시험원선임연구원 ) 김용태 ( 한경대학교교수 ) 재무이사 김준식 (KIST 박사 ) 최영진 ( 한양대학교교수 ) 학술이사 김용권 ( 건양대학교교수 ) 서성규 ( 고려대학교교수 ) 편집이사 남기창 ( 동국대학교교수 ) 이수열 ( 경희대학교교수 ) 기획이사 김수찬 ( 한경대학교교수 ) 이덕진 ( 군산대학교교수 ) 최현택 ( 한국해양과학기술원책임연구원 ) 사업이사 고낙용 ( 조선대학교교수 ) 양연모 ( 금오공과대학교교수 ) 이석재 ( 대구보건대학교교수 ) 산학연이사 강대희 ( 유도 박사 ) 조영조 ( 한국전자통신연구원박사 ) 홍보이사 김호철 ( 을지대학교교수 ) 박재병 ( 전북대학교교수 ) 여희주 ( 대진대학교교수 ) 회원이사 문정호 ( 강릉원주대학교교수 ) 변영재 (UNIST 교수 ) 이학성 ( 세종대학교교수 ) 자문위원 김덕원 ( 연세대학교교수 ) 김희식 ( 서울시립대학교교수 ) 박종국 ( 경희대학교교수 ) 서일홍 ( 한양대학교교수 ) 오상록 (KIST 분원장 ) 오승록 ( 단국대학교교수 ) 연구회위원장 오창현 ( 고려대학교교수 ) 허경무 ( 단국대학교교수 ) 한수희 (POSTECH 교수 ) - 제어계측연구회이성준 ( 한양대학교교수 ) - 회로및시스템연구회남기창 ( 동국대학교교수 ) - 의용전자및생체공학연구회김규식 ( 서울시립대학교교수 ) - 전력전자연구회김영철 ( 군산대학교교수 ) - 지능로봇연구회전순용 ( 동양대학교교수 ) - 국방정보및제어연구회이덕진 ( 군산대학교교수 ) - 자동차전자연구회오창현 ( 고려대학교교수 ) - 의료영상시스템연구회권종원 ( 한국산업기술시험원선임연구원 ) - 스마트팩토리연구회 산업전자소사이어티 회 장 원영진 ( 부천대학교교수 ) 명예회장 강창수 ( 유한대학교교수 ) 남상엽 ( 국제대학교교수 ) 윤기방 ( 인천대학교교수 ) 이상회 ( 동서울대학교교수 ) 이원석 ( 동양미래대학교교수 ) 자문위원 김용민 ( 충청대학교교수 ) 김종부 ( 인덕대학교교수 ) 윤한오 ( 동국대학교교수 ) 이병선 ( 김포대학교교수 ) 이상준 ( 수원과학대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 최영일 ( 조선이공대학교총장 ) 부 회 장 김태원 ( 상지영서대학교교수 ) 동성수 ( 용인송담대학교교수 ) 이용구 ( 한림성심대학교교수 ) 한완옥 ( 여주대학교교수 ) 감 사 김영선 ( 대림대학교교수 ) 김영로 ( 명지전문대학교수 ) 협동부회장 강현웅 ( 핸즈온테크놀로지대표 ) 김응연 ( 인터그래텍대표 ) 김진선 ( 청파이엠티대표 ) 김창일 ( 아이지대표 ) 김태형 ( 하이버스대표 ) 박용후 ( 이디엔지니어링대표 ) 박현찬 ( 나인플러스EDA 대표 ) 성재용 ( 오픈링크시스템대표 ) 송광헌 ( 복두전자대표 ) 이영준 ( 비츠로시스본부장 ) 장 철 (LG 히타찌전무 ) 진수춘 ( 한백전자대표 ) 한성준 ( 아이티센부사장 ) 상임이사 고정환 ( 인하공업전문대학교수 ) 구자일 ( 인하공업전문대학교수 ) 김 현 ( 부천대학교교수 ) 서춘원 ( 김포대학교교수 ) 안성수 ( 명지전문대학교수 ) 안태원 ( 동양미래대학교교수 ) 이동영 ( 명지전문대학교수 ) 재무이사 강민구 ( 경기과학기술대학교교수 ) 강희훈 ( 여주대학교교수 ) 곽칠성 ( 재능대학교교수 ) 김경복 ( 경복대학교교수 ) 김태용 ( 구미대학교교수 ) 문현욱 ( 동원대학교교수 ) 윤중현 ( 조선이공대학교교수 ) 이종하 ( 전주비전대학교교수 ) 이태동 ( 국제대학교교수 ) 주진화 ( 오산대학교교수 ) 학술이사 김덕수 ( 동양미래대학교교수 ) 김용중 ( 폴리텍원주교수 ) 김종오 ( 동양미래대학교교수 ) 성해경 ( 한양여자대학교교수 ) 송도선 ( 우송정보대학교교수 ) 엄우용 ( 인하공업전문대학교수 ) 이영종 ( 여주대학교교수 ) 이영진 ( 을지대학교교수 ) 이종용 ( 광운대학교교수 ) 장경배 ( 고려사이버대학교교수 ) 정경권 ( 동신대학교교수 ) 사업이사 김상범 ( 폴리텍인천교수 ) 김영우 ( 두원공과대학교교수 ) 김윤석 ( 상지영서대학교교수 ) 박진홍 ( 혜전대학교교수 ) 방극준 ( 인덕대학교교수 ) 변상준 ( 대덕대학교교수 ) 심완보 ( 충청대학교교수 ) 오태명 ( 명지전문대학교수 ) 이 철 ( 인하공업전문대학교수 ) 장성석 ( 영진전문대학교수 ) 최의선 ( 폴리텍아산교수 ) 산학연이사 김은원 ( 대림대학교교수 ) 서병석 ( 상지영서대학교교수 ) 성홍석 ( 부천대학교교수 ) 우찬일 ( 서일대학교교수 ) 원우연 ( 폴리텍춘천교수 ) 이규희 ( 상지영서대학교교수 ) 이시현 ( 동서울대학교수 ) 이정석 ( 인하공업전문대학교수 ) 이종성 ( 부천대학교교수 ) 장기동 ( 동양미래대학교수 ) 정환익 ( 경복대학교교수 ) 최홍주 ( 상지영서대학교교수 ) 협동이사 강현석 ( 로보웰코리아대표 ) 김민준 ( 베리타스부장 ) 김세종 (SJ정보통신부사장 ) 김연길 ( 대보정보통신본부장 ) 김태웅 ( 윕스부장 ) 남승우 ( 상학당대표 ) 박정민 ( 오므론과장 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오재곤 ( 세인부사장 ) 유성철 (LG 히다찌본부장 ) 유제욱 ( 한빛미디어부장 ) 이진우 ( 글로벌이링크대표 ) 전한수 ( 세림TNS 이사 ) 조규남 ( 로봇신문사대표 ) 조병영 ( 태진인포텍전무 ) 조한일 ( 투데이게이트이사 )

16 The Magazine of the IEIE 제 21 대평의원명단 강대성 ( 동아대학교교수 ) 강문식 ( 강릉원주대학교교수 ) 강봉순 ( 동아대학교교수 ) 강성원 ( 한국전자통신연구원부장 ) 강창수 ( 유한대학교교수 ) 고성제 ( 고려대학교교수 ) 고요환 (( 주 ) 매그나칩반도체전무 ) 고윤호 ( 충남대학교교수 ) 고정환 ( 인하공업전문대학교수 ) 고현석 ( 한국전자통신연구원선임연구원 ) 공배선 ( 성균관대학교교수 ) 공준진 ( 삼성전자마스터 ) 구용서 ( 단국대학교교수 ) 구자일 ( 인하공업전문대학교수 ) 권기룡 ( 부경대학교교수 ) 권기원 ( 성균관대학교교수 ) 권오경 ( 한양대학교교수 ) 권종기 ( 한국전자통신연구원책임연구원 ) 권종원 ( 한국산업기술시험원선임연구원 ) 권혁인 ( 중앙대학교교수 ) 권호열 ( 강원대학교교수 ) 김강욱 ( 경북대학교교수 ) 김경기 ( 대구대학교교수 ) 김경연 ( 제주대학교교수 ) 김규식 ( 서울시립대학교교수 ) 김기남 ( 삼성전자사장 ) 김기호 ( 삼성전자부사장 ) 김남용 ( 강원대학교교수 ) 김달수 ( 티엘아이대표이사 ) 김대환 ( 국민대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김도현 ( 제주대학교교수 ) 김동규 ( 한양대학교교수 ) 김동순 ( 전자부품연구원박사 ) 김동식 ( 인하공업전문대학교수 ) 김동식 ( 한국외국어대학교교수 ) 김무영 ( 세종대학교교수 ) 김봉태 ( 한국전자통신연구원소장 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원실장 ) 김상효 ( 성균관대학교교수 ) 김선용 ( 건국대학교교수 ) 김선욱 ( 고려대학교교수 ) 김선일 ( 한양대학교교수 ) 김성대 (KAIST 교수 ) 김성진 ( 경남대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김소영 ( 성균관대학교교수 ) 김수원 ( 고려대학교교수 ) 김수중 ( 명예회장 ) 김수찬 ( 한경대학교교수 ) 김수환 ( 서울대학교교수 ) 김승천 ( 한성대학교교수 ) 김시호 ( 연세대학교교수 ) 김영권 ( 명예회장 ) 김영로 ( 명지전문대학교수 ) 김영선 ( 대림대학교교수 ) 김영철 ( 군산대학교교수 ) 김영환 ( 포항공과대학교교수 ) 김용민 ( 충청대학교교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원종 ( 한국전자통신연구원팀장 ) 김원하 ( 경희대학교교수 ) 김윤희 ( 경희대학교교수 ) 김재석 ( 연세대학교교수 ) 김재현 ( 아주대학교교수 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 김정태 ( 이화여자대학교교수 ) 김정호 ( 이화여자대학교교수 ) 김종대 ( 한국전자통신연구원연구위원 ) 김종옥 ( 고려대학교교수 ) 김준모 ( 한국과학기술원교수 ) 김지훈 ( 서울과학기술대학교교수 ) 김진영 ( 광운대학교교수 ) 김창수 ( 고려대학교교수 ) 김창용 ( 삼성전자 DMC 연구소장 ) 김창익 ( 한국과학기술원교수 ) 김철동 (( 주 ) 세원텔레텍대표이사 ) 김철우 ( 고려대학교교수 ) 김태욱 ( 연세대학교교수 ) 김태원 ( 상지영서대학교교수 ) 김 현 ( 부천대학교교수 ) 김현수 ( 삼성전자상무 ) 김형탁 ( 홍익대학교교수 ) 김홍국 ( 광주과학기술원교수 ) 김 훈 ( 인천대학교교수 ) 김희석 ( 청주대학교교수 ) 김희식 ( 서울시립대학교교수 ) 나정웅 ( 명예회장 ) 남기창 ( 동국대학교교수 ) 남상엽 ( 국제대학교교수 ) 남상욱 ( 서울대학교교수 ) 노원우 ( 연세대학교교수 ) 노정진 ( 한양대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 동성수 ( 용인송담대학교교수 ) 류수정 ( 삼성전자상무 ) 문영식 ( 한양대학교교수 ) 문 용 ( 숭실대학교교수 ) 민경식 ( 국민대학교교수 ) 박광로 ( 한국전자통신연구원책임연구원 ) 박광석 ( 서울대학교교수 ) 박규태 ( 명예회장 ) 박동일 ( 현대자동차 전무 ) 박래홍 ( 서강대학교교수 ) 박병국 ( 서울대학교교수 ) 박성욱 (SK하이닉스부회장 ) 박성한 ( 명예회장 ) 박수현 ( 국민대학교교수 ) 박인규 ( 인하대학교교수 ) 박정일 ( 영남대학교교수 ) 박종일 ( 한양대학교교수 ) 박주현 ( 영남대학교교수 ) 박진옥 ( 명예회장 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박춘명 ( 한국교통대학교교수 ) 박항구 ( 명예회장 ) 박현욱 ( 한국과학기술원교수 ) 박현창 ( 동국대학교교수 ) 박형무 ( 동국대학교교수 ) 박홍준 ( 포항공과대학교교수 ) 방성일 ( 단국대학교교수 ) 백광현 ( 중앙대학교교수 ) 백만기 ( 김 & 장법률사무소변리사 ) 백준기 ( 중앙대학교교수 ) 백흥기 ( 전북대학교교수 ) 범진욱 ( 서강대학교교수 ) 변영재 ( 울산과학기술대학교교수 ) 서승우 ( 서울대학교교수 ) 서정욱 ( 명예회장 ) 서철헌 ( 숭실대학교교수 ) 서춘원 ( 김포대학교교수 ) 선우명훈 ( 아주대학교교수 ) 성굉모 ( 명예회장 ) 성하경 ( 전자부품연구원선임연구본부장 ) 성해경 ( 한양여자대학교교수 ) 손광준 ( 한국산업기술평가관리원 PD) 손광훈 ( 연세대학교교수 ) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 송상헌 ( 중앙대학교교수 ) 송용호 ( 한양대학교교수 ) 송제호 ( 전북대학교교수 ) 송창현 ( 네이버 CTO) 신오순 ( 숭실대학교교수 ) 신요안 ( 숭실대학교교수 ) 신지태 ( 성균관대학교교수 ) 신현철 ( 한양대학교교수 ) 신현철 ( 광운대학교교수 ) 심대용 (SK하이닉스상무 ) 심동규 ( 광운대학교교수 ) 심정연 ( 강남대학교교수 ) 안길초 ( 서강대학교교수 ) 안병구 ( 홍익대학교교수 ) 안성수 ( 명지전문대학교수 ) 안승권 (LG 전자사장 ) 안태원 ( 동양미래대학교교수 ) 안현식 ( 동명대학교교수 ) 양일석 ( 한국전자통신연구원책임연구원 ) 엄낙웅 ( 한국전자통신연구원소장 ) 엄일규 ( 부산대학교교수 ) 연규봉 ( 자동차부품연구원센터장 ) 예종철 ( 한국과학기술원교수 ) 오상록 ( 한국과학기술연구원분원장 ) 오성목 (KT 사장 ) 오승록 ( 단국대학교교수 ) 오창현 ( 고려대학교교수 ) 우남성 (( 전 ) 삼성전자사장 ) 우운택 ( 한국과학기술원교수 ) 원영진 ( 부천대학교교수 ) 유경식 ( 한국과학기술원교수 ) 유명식 ( 숭실대학교교수 ) 유윤섭 ( 한경대학교교수 ) 유정봉 ( 공주대학교교수 ) 유창동 ( 한국과학기술원교수 ) 유창식 ( 한양대학교교수 ) 유태환 ( 한국전자통신연구원책임연구원 ) 유현규 ( 한국전자통신연구원책임연구원 )

17 유회준 ( 한국과학기술원교수 ) 윤기방 ( 인천대학교교수 ) 윤석현 ( 단국대학교교수 ) 윤성로 ( 서울대학교교수 ) 윤영권 ( 삼성전자마스터 ) 윤은준 ( 경일대학교교수 ) 윤일구 ( 연세대학교교수 ) 윤종용 ( 삼성전자비상임고문 ) 윤지훈 ( 서울과학기술대학교교수 ) 이가원 ( 충남대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이경중 ( 연세대학교교수 ) 이광엽 ( 서경대학교교수 ) 이규대 ( 공주대학교교수 ) 이문구 ( 김포대학교교수 ) 이문기 ( 명예회장 ) 이민호 ( 경북대학교교수 ) 이배호 ( 전남대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이병선 ( 김포대학교교수 ) 이병욱 ( 이화여자대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상설 ( 명예회장 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이상훈 ( 한국전자통신연구원원장 ) 이성수 ( 숭실대학교교수 ) 이성준 ( 한양대학교교수 ) 이승호 ( 한밭대학교교수 ) 이승훈 ( 서강대학교교수 ) 이영렬 ( 세종대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이원석 ( 동양미래대학교교수 ) 이윤식 ( 울산과학기술대학교교수 ) 이윤종 (( 주 ) 동부하이텍부사장 ) 이인규 ( 고려대학교교수 ) 이장명 ( 부산대학교교수 ) 이재진 ( 숭실대학교교수 ) 이재홍 ( 서울대학교교수 ) 이종호A ( 서울대학교교수 ) 이종호B ( 서울대학교교수 ) 이진구 ( 명예회장 ) 이찬수 ( 영남대학교교수 ) 이찬호 ( 숭실대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 이채은 ( 인하대학교교수 ) 이천희 (( 전 ) 청주대학교교수 ) 이충용 ( 연세대학교교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 이필중 ( 포항공과대학교교수 ) 이한호 ( 인하대학교교수 ) 이혁재 ( 서울대학교교수 ) 이현중 ( 한국전자통신연구원박사 ) 이호경 ( 홍익대학교교수 ) 이흥노 ( 광주과학기술원교수 ) 이희국 ( LG 상근고문 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 임신일 ( 서경대학교교수 ) 임제탁 ( 명예회장 ) 임해진 ( 강원대학교교수 ) 임혜숙 ( 이화여자대학교교수 ) 장은영 ( 공주대학교교수 ) 장태규 ( 중앙대학교교수 ) 전국진 ( 서울대학교교수 ) 전민용 ( 충남대학교교수 ) 전병우 ( 성균관대학교교수 ) 전순용 ( 동양대학교교수 ) 전영현 ( 삼성전자사장 ) 전홍태 ( 중앙대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 정길도 ( 전북대학교교수 ) 정성욱 ( 연세대학교교수 ) 정승원 ( 동국대학교교수 ) 정영모 ( 한성대학교교수 ) 정원영 ( 인제대학교교수 ) 정윤호 ( 한국항공대학교교수 ) 정은승 ( 삼성전자부사장 ) 정의영 ( 연세대학교교수 ) 정정화 ( 명예회장 ) 정종문 ( 연세대학교교수 ) 정 준 ( 쏠리드대표이사 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 제민규 ( 한국과학기술원교수 ) 조경순 ( 한국외국어대학교교수 ) 조남익 ( 서울대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 조명진 ( 네이버박사 ) 조민호 ( 고려대학교교수 ) 조상복 ( 울산대학교교수 ) 조성현 ( 한양대학교교수 ) 조성환 ( 한국과학기술원교수 ) 조영조 ( 한국전자통신연구원책임연구원 ) 조중휘 ( 인천대학교교수 ) 조춘식 ( 한국항공대학교교수 ) 주성순 ( 한국전자통신연구원박사 ) 주영복 ( 한국기술교육대학교교수 ) 진 훈 ( 경기대학교교수 ) 차호영 ( 홍익대학교교수 ) 천경준 ( 씨젠회장 ) 최강선 ( 한국기술교육대학교교수 ) 최기영 ( 서울대학교교수 ) 최병덕 ( 한양대학교교수 ) 최승원 ( 한양대학교교수 ) 최승종 (LG 전자전무 ) 최영규 ( 한국교통대학교교수 ) 최용수 ( 성결대학교교수 ) 최우영 ( 서강대학교교수 ) 최윤식 ( 연세대학교교수 ) 최준림 ( 경북대학교교수 ) 최중호 ( 서울시립대학교교수 ) 최진성 (SK텔레콤전무 ) 최천원 ( 단국대학교교수 ) 한대근 (( 전 ) 실리콘웍스대표이사 ) 한동석 ( 경북대학교교수 ) 한수희 ( 포항공과대학교교수 ) 한영선 ( 경일대학교교수 ) 한완옥 ( 여주대학교교수 ) 한재호 ( 고려대학교교수 ) 한종기 ( 세종대학교교수 ) 한태희 ( 성균관대학교교수 ) 함철희 ( 삼성전자마스터 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 허재두 ( 한국전자통신연구원본부장 ) 허 준 ( 고려대학교교수 ) 호요성 ( 광주과학기술원교수 ) 홍국태 (LG 전자연구위원 ) 홍대식 ( 연세대학교교수 ) 홍민철 ( 숭실대학교교수 ) 홍승홍 ( 명예회장 ) 홍용택 ( 서울대학교교수 ) 홍유식 ( 가톨릭상지대학교교수 ) 황성운 ( 홍익대학교교수 ) 황승구 ( 한국전자통신연구원소장 ) 황승훈 ( 동국대학교교수 ) 황인철 ( 강원대학교교수 ) 사무국직원명단 송기원국장 - 대외업무, 업무총괄, 기획, 자문, 산학연, 선거이안순부장 - 국내학술, 총무, 포상, 임원관련, 컴퓨터소사이어티배지영차장 - 국제학술, 국문논문, JSTS 영문지, 시스템및제어소사이어티배기동차장 - 사업, 표준화, 용역, 반도체소사이어티변은정차장 - 재무 ( 본회 / 소사이어티 / 연구회 ), 학회지, 산업전자소사이어티김천일과장 - 회원, 정보화, 홍보 / 교육, 통신소사이어티장다희서기 - 국제학술, 국제업무, SPC, 신호처리소사이어티

18 제4차상임이사회개최제4차상임이사회가 5월 12일 ( 금 ) 오전 7시30분쉐라톤서울팔래스강남호텔 (1층) 에이치가든에서개최되었다. 이번회의결과는다음과같다. 1. 성원보고 - 33명의상임이사중 21명이참석하여성원되었음 제1차직선평의원회개최제21대직선평의원들이참석하는직선평의원회의가 6월 9일 ( 금 ) 오후 5시 30분, SC컨벤션센터에서개최되었다. 이번평의원회에서는간선평의원 80명을선출하고, 그동안학회에서추진한사업을보고하였다. 2. 위원회보고 - 각위원회별로위원장들이서면및발표보고사항을진행하고위원회별주요사항을논의함 3. 심의사항 - 신규회원가입을승인함 /2018 간선평의원후보선정에관한사항을회장단에위임함 4. 기타 제 1 차직선평의원회 제 4 차상임이사회 420 _ The Magazine of the IEIE 12

19 News 2017 년통신소사이어티워크샵 ( 지능형드론 : 기술과활용 ) 통신소사이어티 ( 회장 : 이흥노교수 (GIST)) 에서는 5월 18일 ( 목 ) 숭실대학교한경직기념관김덕윤예배실에서 2017년통신소사이어티워크샵 ( 지능형드론 : 기술과활용 ) 을개최하였다. 이번워크샵에서는인공지능과드론의활용이라는주제를하나로묶어곧다가올변화를예측해보고이를실현하기위해넘어야할산들이무엇이고어떤접근방법들이가능할지에대해드론관련전문가들을모시고폭넓은논의와참여가있었으며, 약 80명이참석하였다. 2017년마이크로파및전파전파합동학술대회마이크로파및전파전파연구회 ( 위원장 : 조춘식교수 ( 한국항공대학교 )) 에서는 5월 19일 ( 금 ) 여의도중소기업중앙회회관에서 2017년도마이크로파및전파전파합동학술대회 를대한전자공학회, 한국통신학회, 한국전자파학회, 대한전기학회그리고 IEEE MTT/AP/EMC Korea Chapter가공동으로개최하였다. 이번학술대회에서는학 연 산공동으로최근주요연구결과를공유하는학술발표와함께연구관련장비전시를마련하여마이크로파및전파전파관련연구와산업활성화를도모하고학생및청년연구자들이좋은논문을발표하고성숙해가는기회가되었으며, 약 90명이참석하였다. 통신소사이어티워크샵 ( 지능형드론 : 기술과활용 ) 마이크로파및전파전파합동학술대회조직위원단체사진 4 차산업혁명과 IT 융합 / 스마트헬스케어기술워크샵 컴퓨터소사이어티 ( 회장 : 김승천교수 ( 한성대 )) 에서는 5월 19일 ( 금 ) ~20일 ( 토 ) 양일간에걸쳐강릉원주대학교에서최근관심이집중되고있는 4차산업혁명과 IT융합 / 스마트헬스케어기술 을주제로학계와산업체간에학술적, 기술적정보를심도있게교류하고토론하고자워크샵을마련하였다. 4차산업혁명의도래와더불어 IT 융합기술과스마트헬스케어기술의새로운도약을준비하는 2017년, 스마트헬스케어와 IT융합, 멀티미디어기술등에대해서학계와산업계의여러전문가, 연구기관에서신기술을개발하는연구원들이참여하였다. 아날로그 IC 워크샵반도체소사이어티 ( 워크샵조직위원장 : 최중호교수 ( 서울시립대 )) 에서는 아날로그 IC 워크샵 을 5월 22일 ( 월 ) 서강대학교다산관 101 호에서개최하였다. 아날로그 IC 워크샵 13 전자공학회지 _ 421

20 본워크샵은아날로그회로설계에대한기초적인내용으로구성되었으며, 이를통해아날로그설계전공을시작하려는학생및전공하고있는연구자에게는타분야이해확대, 비전공자들이느낄수있는답답함에응답하기위해학계및산업계최고의강사들이워크샵을마련하였다. 이번워크샵에는약 100명이참석하였다. IoT, 무선 PANBAN 산업융합 4.0 기술워크샵 M2M/IoT 연구회 ( 위원장 : 김도현교수 ( 제주대 )) 에서는 5월 25일 ( 목 ) ~ 26일 ( 금 ) 제주대학교에서 IoT, 무선 PANBAN 산업융합 4.0 기술워크샵 을개최하였다. 2017년도춘계 SoC학술대회 SoC설계연구회 ( 위원장 : 인치호교수 ( 세명대 )) 에서는 5월 27일 ( 토 ) 2017년춘계 SoC 학술대회 를홍익대학교 K관에서개최하였다. 금년 SoC 학술대회에서는대학과산업체에서연구한 90여편의논문발표로총 10개의구두세션, 4개포스터세션으로진행되었으며, 아울러 Keynote Speech로삼성전자박병하전무가 Mixed-Signal IC and PMIC For Wireless Applications: Design and Challenges에대하여발표가있었으며, 강원대학교배준성교수의특별강연이진행되었다. 이번학술대회에는약 160명이참석하였다. 국내외적으로사물인터넷기술과융합서비스에대한관심이높아지고있는현시점에국내외사물인터넷, 무선 PAN/BAN 산업융합기술에대한현황을소개하고, 사물인터넷과무선 PAN/BAN 산업융합활성화를위한토론의장을마련하고자이번워크샵을개최하였으며이번워크샵에는 50명이참석하였다. SoC 학술대회홍대식회장인사말 422 _ The Magazine of the IEIE 14

21 News 신규회원가입현황 (2017 년 5 월 9 일 년 6 월 4 일 ) 정회원권영국 ( 썬에이치에스티 ), 권영수 ( 한국전자통신연구원 ), 김성은 ( 한밭대학교 ), 김준기 (LIG 넥스원생산기술연구소 ), 박영준 ( 한양대학교 ), 박철균 ( 지엔오션 ), 손준호 ( 세종공업 ), 유인덕 ( 한화시스템 ), 윤경수 ( 지능형자동차부품진흥원 ), 이광병 ( 한화시스템 ), 이덕기 ( 연암공과대학교 ), 이우신 ( 한화시스템 ), 임성재 ( 국방과학연구소 ), 정진곤 ( 중앙대학교 ), 조성현 ( 디지스트 ), 진영석 ( 대구경북과학기술원 ), 최경근 ( 포항공과대학교 ), 한태화 ( 연세의료원 ), 허권 ( 투비원솔루션즈 ), 황찬호 ( 국방과학연구소 ) 이상 20명학생회원강주연 ( 성균관대학교 ), 구정우 ( 고려대학교 ), 권동화 ( 세종대학교 ), 권혁신 ( 한양대학교 ), 김기주 ( 경북대학교 ), 김기철 ( 한밭대학교 ), 김덕용 ( 성균관대학교 ), 김만수 ( 성균관대학교 ), 김민철 ( 서경대학교 ), 김성문 ( 울산과학기술원 ), 김정태 ( 한국산업기술대학교 ), 김주성 ( 성균관대학교 ), 김준우 ( 고려대학교 ), 김태현 ( 고려대학교 ), 나은혜 ( 한양대학교 ), 남지연 ( 서울대학교 ), 남태훈 ( 울산대학교 ), 노정근 ( 한국산업기술대학교 ), 먁마르엥호툽신 ( 동명대학교 ), 먁마르엥흐자야 ( 동명대학교 ), 문대현 ( 부경대학교 ), 문호상 ( 한국산업기술대학교 ), 민선종 ( 연세대학교 ), 바승체첵 ( 숙명여자대학교 ), 박정은 ( 숙명여자대학교 ), 박채훈 ( 성균관대학교 ), 서석태 ( 울산과학기술원 ), 서유정 ( 숭실대학교 ), 손성진 ( 성균관대학교 ), 송광혁 ( 세종대학교 ), 신진호 ( 인하대학교 ), 심준식 ( 딜로이트안진회계법인 ), 염정선 ( 충남대학교 ), 오수진 ( 성균관대학교 ), 우현준 ( 세종대학교 ), 우형민 ( 삼성SDI, 연세대학교 ), 원지혜 ( 성균관대학교 ), 윤성혁 ( 한국산업기술대학교 ), 윤여훈 ( 한국과학기술원 ), 윤평원 ( 호서대학교 ), 이건원 ( 세종대학교 ), 이대성 ( 중앙대학교첨단영상대학원 ), 이상원 ( 세종대학교 ), 이승진 ( 한국산업기술대학교 ), 이준구 ( 충북대학교 ), 임형주 ( 서울과학기술대학교 ), 장경수 ( 충북대학교 ), 장지웅 ( 서울과학기술대학교 ), 장형준 ( 한국항공대학교 ), 전상일 ( 한양대학교 ), 정상현 ( 성균관대학교 ), 정용석 ( 연세대학교 ), 정재훈 ( 한양대학교 ), 정형근 ( 충남대학교 ), 천혜림 ( 아주대학교 ), 최승호 ( 세종대학교 ), 최우진 ( 한양대학교 ), 최은호 ( 울산과학기술원 ), 한기웅 ( 서울대학교 ), 한상현 ( 한국산업기술대학교 ), 한정원 ( 연세대학교 ) 이상 61명 15 전자공학회지 _ 423

22 학회일지 The Institute of Electronics and Information Engineers 2017년 5월 18일 ~ 2017년 6월 15일 1. 회의개최 회의명칭일시장소주요안건 제 3 차하계조직위원회 5.18 (17:30) 학회회의실 - 하계학술대회논문구성및프로그램논의외 제 7 차 ITC-CSCC 2017 조직위원회 5.23 (18:00) 서울역티원 - 프로그램확정및주요행사논의외 제 2 차 ICEIC 2018 운영위원회 5.24 (7:30) JW 메리어트더카페 - 학회장소확정및조직위원구성, 주요일정논의외 산업전자소사이어티이사회 6.2 (17:00) 해동자료실 - 스마트로봇경진대회논의외 제 4 차하계조직위원회 6.2 (17:00) 학회회의실 - 하계프로그램구성논의외 소사이어티회장단회의 6.9 (15:00) 학회회의실 - 본회소사이어티간협력교류 ( 기술워크샵활성화방안 ) 논의외 제 8 차 ITC-CSCC 2017 조직위원회 6.15 (18:00) 서울역티원 - 학술대회진행사항최종점검진행외 2. 행사개최 행사명칭일시장소주관 통신소사이어티워크샵 - 지능형드론 : 기술과활용 2017 년도마이크로파및전파전파합동학술대회 4 차산업혁명과 IT 융합 / 스마트헬스케어기술워크샵 5.18 숭실대학교통신소사이어티 5.19 중소기업중앙회관마이크로파및전파전파연구회 5.19~20 강릉원주대학교컴퓨터소사이어티 아날로그 IC 워크샵 5.22 서강대학교반도체소사이어티 IoT 무선 PANBAN 산업융합 4.0 기술워크샵 5.25~26 제주대학교 M2M/IoT 연구회 2017 년 SoC 학술대회 5.26~27 홍익대학교 SoC 설계연구회 424 _ The Magazine of the IEIE 16

23 특 집 편 집 기 고효율디지털신호처리용 VLSI 설계기술 최근인공지능 (AI), 로봇, 사 물인터넷 (IoT) 등 4차산업혁명을대표하는기술들이각광을받고있다. 4차산업혁명을대표하는기술들은대부분아날로그신호들을감지하고, 이신호들을어플리케이션에서처리하기용박종선편집위원이하도록디지털신호로변환하 ( 고려대학교 ) 고, 변환된신호들을디지털신호처리과정을거치게된다. 최근엄청나게증가하는데이터의양 (Big Data) 에따라디지털신호처리과정의복잡도는점점증가하고있고효과적인디지털신호처리용 VLSI 설계기술에대한관심이높아지고있다. 본특집호는다양한디지털신호처리용 VLSI 설계기술에대해소개하는학계전문가들의논문 5편으로구성되었다. 첫째, 에너지효율적인이미지프로세서를위한 Approximate Computing ( 김영민외 ) 에서는웨어러블 SoC 나모바일기기에이용될수있는이미지퀄리티와소비전력간의 Trade-off 관계에대해서논의하고, 시스템의에너지소모를최적화할수있는기술들을소개하였다. 둘째, 고효율디지털신호처리를위한근사곱셈기설계 ( 하민호외 ) 에서는디지털신호처리에서필수적인연산모듈 인곱셈기을이용하여기존의 100% 의정확도를필요로하는패러다임에서벗어나약간의오차를허용하는근사컴퓨팅기법을소개한다. 또한, 이러한근사컴퓨팅기법이다양한디지털신호처리어플리케이션에이용될수있는방법을제시하고있다. 셋째, 저전력디지털신호처리가속기설계기술 ( 전동석 ) 은회로-아키텍처-알고리즘최적화기술을통해소형화, 고성능화, 다각화되고있는모바일기기에서디지털신호처리가속기의전력소모를줄이는설계기술들을제시하였다. 넷째 CORDIC을이용한저면적저전력신호처리 VLSI 설계기술및그응용 ( 신동엽외 ) 에서는통신프로세서와영상프로세서의저면적저전력구현을위해서디지털신호처리프로세서에서자주쓰이는모듈중에하나인 CORDIC 을이용한다양할설계최적화기술동향을살펴보았다. 끝으로, 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 ( 신태환외 ) 에서는이미지분류에뛰어난예측성능을갖는컨볼류션뉴럴네트워크를모바일장치에효율적으로구현하기위한다양한알고리즘, 아키텍쳐기술을제안하였다. 바쁜일정중에본특집호를위하여원고를보내주신집필진여러분께감사드리며, 본특집호가신호처리용 VLSI 설계를위한전문가들의교류와협력을위한계기가되어우리나라 IT융합산업의발전과경쟁력강화에기여할수있기를기원한다. 17 전자공학회지 _ 425

24 특집 에너지효율적인이미지프로세서를위한 Approximate Computing 에너지효율적인이미지 프로세서를위한 Approximate Computing Ⅰ. 서론 오늘날 IT기기는처리해야할데이터의양은점점늘어나고크기는점점작아지면서높은에너지효율이중요해지고있다. 특히 < 그림 1> 에서보듯이웨어러블디바이스의사용량이급증하면서잦은충전문제가핵심이슈로더욱부상했다. 에너지효율은소비전력과소모되는시간의곱으로, 에너지효율을향상시키기위해서는소비전력과연산시간을모두감소시켜야한다. 하지만소비전력을감소시키는여러가지기법은대부분성능과 trade-off 관계를갖고있다. 소비전력을감소시키기위해서는성능저하를감수해야하고, 성능을향상시키기위해서는소비전력의증가를감수해야한다. 간단한예로공급전압의크기를줄이면전력소모가매우감소되지만, 그만큼성능이저하된다. 이러한문제를해결할수있는기법중하나가 approximate computing이다. Approximate computing은정확도를줄임으로써전력소모의감소와성능향상을동시에얻을수있다. 특히사람의감각과관련된어플리케이션에서는약간의정확도를손실해도사김영민광운대학교컴퓨터정보공학부 김성현 광운대학교컴퓨터공학과 < 그림 1> 세계웨어러블디바이스시장전망 ( 자료원 : Cisco VNI Mobile) 426 _ The Magazine of the IEIE 18

25 에너지효율적인이미지프로세서를위한 Approximate Computing 람이인지하지못할정도인경우영향이없기때문에, approximate computing을적용하기적합하다. 이처럼 approximate computing은에너지효율을위한설계에서매우중요하고유용한방법이다. Ⅱ. Approximate Computing 그동안컴퓨터플랫폼은정확한연산을수행해야한다는것이당연시됐다. 이런조건에서의기법은대부분성능과소비전력사이의 trade-off를수반한다. 하지만부정확한연산을허용하게되면위의 trade-off관계를극복할수있다. Approximate computing은연산의정확도를어느정도허용할만큼손실을감안하고연산의단순화와소비전력감소라는두가지이득을얻을수있는방법이다. 특히 < 그림 2> 의이미지처리, 영상처리, 음성인식같이사람의감각과관련된어플리케이션에서는눈과귀로인지할수없는작은오류를무시함으로써에너지효율과성능의향상을기대할수있다. Approximate computing은기본적으로산술연산회로에적용이가능하다. 우선산술연산의기본이되는 adder에가장먼저적용됐다. Adder에적용할때는 1-bit adder 자체의트랜지스터구조를변형하는방법들이많이이용됐다. Yang [1] 은트랜지스터레벨에서 XOR/XNOR 게이트구조와패스트랜지스터 (PTL) 를기반으로한 1-bit approximate adder들을연구하였다 (< 그림 3> 참조 ). 위 adder들은정확한연산을하는 1-bit full adder와비교하여소비전력과트랜지스터수의감소 ( 즉, adder의크기감소 ) 는물론, 회로딜레이의감소도보여주었다. 이렇게원래의덧셈연산보다조금부정확한연산을수행하도록하면서소비전력감소와성능향상을시킨새로운구조의 adder들이많이제안됐다. 1-bit adder뿐만아니라 multi-bit adder도많은연구가진행됐다. multi-bit중하위비트일수록값이매우작다는점을이용하여일정 LSB(Least Significant Bit) 만을 approximate adder로대체하고나머지비트는정확한 adder를이용하여이득을가져왔다. 대표적으로 Mahdiani [2] 가제안한 LSB부분이 OR게이트로이루어진 adder 가있다 (< 그림 4> 참조 ). 이 adder 는간단한구조로인해 power, delay, area에서모두이득을가져왔지만오류의확률이너무높게나오는경향을보였고, 관련연구에서많은참조가되어왔다. 이외에도 approximate adder에대한많은연구가진행됐는데, 그중에는 adder 자체의특성에초점을맞춘것이아닌실제어플리케이션의동작에초점을맞춘연구도나왔다. 동작중인어플케이션에서는실시간으로필요한정확도가바뀔수있는 < 그림 2> approximate computing 적용에적합한어플리케이션의종류 < 그림 4> OR 게이트로이루어진 approximate adder [2] < 그림 3> 트랜지스터구성이다른여러가지 1-bit approximate adder [1] 19 전자공학회지 _ 427

26 김영민, 김성현 < 그림 5> 4단계로파이프라인된 approximate adder [3] 데, 이러한특성을이용하여필요에따라정확도를조절할수있는 accuracy-configurable adder가제안되기도했다 [3]. 이 adder는정확한계산을위한파이프라인단계를나누어정확도에따라단계를조절할수있도록한것이다 (< 그림 5> 참조 ). Approximate computing은 multiplier에도적용됐다. Multiplier 자체의 cell 구조를바꿔서 approximation 하거나, 곱셈연산의특성을이용하여연산되는 bit수를줄여서 approximation하는방법들이연구됐다. 이구현들에대한자세한내용은다음절에서설명하도록한다. 현재는 divider까지 approximate computing 연구가확장되었다. divider는크기가매우크고, 전력을아주많이소비하기때문에높은소비전력감소의효과가기대되는 logic이다. Multiplier와마찬가지로, divider에사용되는 subtracter를대체하는등 cell 구조를변형하는방 법과나눗셈의특성을이용하여연산되는 bit수를줄이는방법등이연구되고있다. 이것역시다음절에서자세히살펴보도록한다. Approximate computing이적용된 logic은전력효율을높이기위한목적이긴하지만, 부정확한연산이추가되므로신뢰성을평가하기위한측정기준이필요하다. Liang [4] 은이를위한새로운성능지표를제안했다. ED(Error Distance), MED(Mean ED) 와 NED(Normalized ED) 이렇게세가지지표가있는데, ED는오류가있는출력과올바른출력간의산술차이로정의되고, MED는 ED의평균그리고 NED는해당 logic 에서나올수있는최대출력값과 ED의비율로정규화한 ED이다. 이지표들은 approximate computing 관련연구에서유효성과신뢰성을평가하는데사용되고있다. 이절까지는 approximate computing의필요성및중요성, 원리, 그리고연구동향을알아봤다. 이제다음절에서는몇가지의예를들어그구체적인구현방법에대해살펴보겠다. Ⅲ. Approximate Computing 구현방법 (a) (b) < 그림 6> 1-bit approximate adder 의구조 (a), accurate adder 와 (a) 의진리표 (b) [5] 1. Approximate Adder 덧셈은산술연산의기본이며, adder는산술연산 logic 의가장기본이되는 logic이다. [5] 에서는 XNOR 구조를기반으로 full adder에서셀구조를변형한 1-bit approximate adder를제안했다 (< 그림 6(a)> 참조 ). Accurate full adder와비교하여트랜지스터수가 20% 감소되어면적과소비전력부분에서이득을얻는다. 정확도의경우 < 그림 6(b)> 의진리표를참조하면확인할수있다. 총 8번의 input case중 2번의경우 error가발생하는것을볼수있는데, error의확률자체도중요하지만한가지더중요한것은 error가발생하는 input case 가적절하냐는것이다. Error case는 Cin이 1인경우에한정해서나타나는것이더유리하다. 올림수가발생할확률보다발생하지않을확률이더크기때문이며, 이것은 1-bit approximate adder를 multi-bit으로확장하 428 _ The Magazine of the IEIE 20

27 에너지효율적인이미지프로세서를위한 Approximate Computing < 그림 7> LSB 가 1-bit approximate adder 로구성된 multi-bit approximate adder [5] < 그림 8> 입력패턴에맞춰정확도조절이가능한 approximate adder [6] 여비교함으로써검증할수있다. < 그림 7> 은 < 그림6(a)> 를이용하여만든 multi-bit approximate adder이다. 이 adder는이전연구에서나온 adder들보다더좋은 error rate, MED, NED를보여준다. 어플리케이션에따라 approximate adder로구성되는 LSB 수를정하여정확도와성능의 trade-off를결정할수도있다. 위아이디에서실시간동작에대한부분까지고려하여제안한 adder가 < 그림 8> 이다 [6]. 어플리케이션의동작중에는실시간으로다른데이터가입력될것인데, 입력패턴을확인하여 error의발생여부를어느정도예측하여 approximate adder의비트수를결정한다는아이디어이다. LPL Selector 모듈이실시간입력패턴에따라하위비트수를조절해주는역할이다. 이모듈에서입력마다 error의발생범위를계산하여하위 n-bit을결정해주기때문에다른 approximate adder들에비해매우좋은 error metric(error rate, MED, NED) 을보여준다. adder를 approximate adder로대체하여만든구조이다. < 그림 9> 에서와같이 4x4 multiplier의경우, 하위 3-bit의결과를만들때사용되는 adder를 approximate adder로대체하는것을보여준다. 정확도와성능의 trade-off 필요에맞게 approximation시킬하위비트수를결정하여사용한다. 16x16 multiplier로가정했을때원래의 multiplier와비교하여 10~40% 의전력감소, 6~16% 의면적감소, 22~40% 의 delay 감소효과를보였다. 곱셈의특성을이용하여 approximation을시킬수도있다. Hashemi [7] 는하위비트자리의연산이결과값에큰영향을미치지않는곱셈의특성을이용하여곱셈연산의 bit수를감소시키는구조를제안했다 (< 그림 10> 참조 ). 이구조는최상위비트에서부터가장처음으로 1이나오는자리를찾은후 k-bit만큼만남기고나머지하위비트는버리는구조이다. 이렇게 k-bit로감소된 input 을받아 conventional multiplier에적용하여곱셈을한다. 이 approximate multiplier는약 58% 의절전효과를보여준다. < 그림 9> 내부 adder를 approximate adder로대체한 approximate multiplier 2. Approximate Multiplier 곱셈또한어플리케이션에서필수적인산술연산이다. Approximate multiplier는정확한연산을하는내부의 < 그림 10> Input data 의 bit 수를감소시켜연산하는 approximate multiplier [7] 21 전자공학회지 _ 429

28 김영민, 김성현 (a) < 그림 11> Input data 의 bit 수를감소시켜연산하는 approximate divider [8] 3. Approximate Divider 현재는 divider까지도활발하게연구되고있는상황이다. Divider는매우큰 logic이기때문에 approximation 하여어플리케이션에적용할경우성능면에서매우큰이득을가져올수있다. Hashemi [8] 는앞선 multiplier에서사용했던특성을 divider에도적용하여 approximate divider를제안했다. LOD(Leading One Detector) 에서가장처음으로 1이나오는자리를찾고, mux를통해사용될 bit자리를정하여 conventional divider로입력해준다. 마지막에는 shifter를통해자리수를복원해준다. 값이더작아지는나눗셈의특성상, 연산 bit수를줄여서발생하는오차범위에대해 3.08% 의뛰어난성능을보였다. 또한최대 70% 의절전효과를보여줬다. 지금까지 approximate computing을적용한산술연산 logic에대하여알아봤다. 그렇다면실제어플리케이션에적용이가능한것인지다음절에서예시를통해살펴보겠다. Ⅳ. Approximate Computing의응용예 Approximate computing 기법은사람의감각이사용되는 application이라면어디든용이하게적용될수있다. 우리는이중에서도눈의인지능력과관련된응용프로그램을살펴보기로한다. < 그림 12> 는이미지압축표준인 jpeg과영상압축표준인 mpeg의 encoder 구조이다. Gupta [9] 는제안한 approximate adder의효용성을검증하기위해 jpeg encoder와 mpeg encoder에적용시켜압축된결과와전력감소를보여줬다. Approximate adder는 < 그림 12> 의 DCT (Discrete Cosine Transform) 와 Inverse DCT모듈에적용됐다. DCT는덧셈연산을많이수행하며손실이 < 그림 12> jpeg encoder 구조 (a), mpeg encoder 구조 (b) [9] < 그림 13> 각 case별 output quality [9] 일어나도되는과정이기때문에적용이가능한것이다. < 그림 13> 은원래의 jpeg결과와 truncation 기법을이용한결과그리고 approximation된 jpeg의결과를비교한것이다. Approximation 기법이이용된결과는원래의결과와육안으로거의차이가없는것을확인할수있다. 이때소비전력의감소는약 52% 로매우좋은결과를보여준다. MPEG의경우도영상압축결과가육안으로차이가나지않는수준에서, 약 42% 의전략감소를보여줬다. 이절에서알아본것처럼, 사람의인지능력이사용되는어플리케이션에는 approximate computing방법이적용될수있다. 실제어플리케이션에적용할때는많은테스트를통하여어느정도 approximation이허용되는지검증을거친후적용하면, 요구되는정확도와성능의 trade-off를맞출수있을것으로기대한다. Ⅴ. 결론 (b) 지금현재반도체관련학계나기업에서는반도체의성 430 _ The Magazine of the IEIE 22

29 에너지효율적인이미지프로세서를위한 Approximate Computing 능과집적도를높이기위해서 무어의법칙 으로대변되는회로선폭의축소를위해매진하고있다. 하지만, 소비전력이높아서기존설계기술을활용한고성능 SoC 로는미래의웨어러블기기에적용하기불가능하다. Approximate computing 기법은정확도와소비전력간의 trade-off관계를갖기때문에웨어러블 SoC에적용되기적절하다. 꼭웨어러블기기가아니더라도앞으로의트랜드가크기는점점작아지지만에너지효율은높은기기를원하기때문에이조건에맞추어최적화를진행할수있을것으로기대되는방법이다. 본고에서살펴본 approximate logic들과이것이적용된응용프로그램들을볼때충분히상용가능하며, 필요로하는성능을달성할수있을것으로기대할수있다. Approximate computing의정확도와성능 trade-off의연구는앞으로도활발하게진행될것으로보이며, 최적화된저전력이미지프로세서설계등에다양하게이용될수있을것으로예상된다. 참고문헌 [1] Z. Yang, et al., Approximate xor/xnor-based adders for inexact computing, in Proc. Nanotechnology (IEEE-NANO), pp , [2] H.R. Mahdiani, et al., Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications, IEEE Trans. on Circuits and Systems I: Regular Papers, vol. 57, no. 4, pp , [3] A.B. Kahng and S. Kang, Accuracy-configurable adder for approximate arithmetic designs, in Proc. DAC, pp , [4] J. Liang, J. Han and F. Lombardi, New metrics for the reliability of approximate and probabilistic adders, IEEE Trans. on Computer, vol. 62, no. 9, pp , [5] S. Kim and Y. Kim, Energy-efficient hybrid adder design by using inexact lower bits adder, in Proc. APCCAS, pp , [6] S. Kim and Y. Kim, Adaptive Approximate Adder (A3) to Reduce Error Distance for Image Processor, in Proc. ISOCC, pp , [7] S. Hashemi, R. I. Bahar and S. Reda, DRUM: A Dynamic Range Unbiased Multiplier for Approximate Applications, in Proc. ICCAD, pp , [8] S. Hashemi, R. I. Bahar and S. Reda, A Low-Power Dynamic Divider for Approximate Applications, in Proc. DAC, [9] V. Gupta, et al., Low-power digital signal processing using approximate adders, IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 32, no. 1, pp , 김영민 1999 년 8 월연세대학교전자공학과학사 2003 년 4 월미시건대학교 EECS 석사 2007 년 12 월미시건대학교 EECS 박사 1999 년 8 월 ~2000 년 10 월삼성전기연구원 2007 년 10 월 ~2009 년 7 월미국 Qualcomm 연구원 2009 년 8 월 ~2015 년 2 월울산과학기술원 (UNIST), 조교수 2015 년 3 월 ~ 현재광운대학교컴퓨터정보공학부, 부교수 < 관심분야 > SoC 설계및설계자동화 (CAD) 김성현 2016 년 2 월광운대학교컴퓨터공학과학사 2016 년 3 월 ~ 현재광운대학교컴퓨터공학과석사과정 < 관심분야 > SoC 설계및설계자동화 (CAD), 임베디드시스템설계, 저전력 SoC 설계 23 전자공학회지 _ 431

30 특집 고효율디지털신호처리를위한근사곱셈기설계 고효율디지털신호처리를 위한근사곱셈기설계 Ⅰ. 서론 하민호포항공과대학교전자전기공학과이영주포항공과대학교전자전기공학과이승구포항공과대학교전자전기공학과 최근몇년사이에정보통신기술 (ICT) 융합을바탕으로엄청난양의 data 처리와생성을필요로하는이른바 4차산업혁명이발생하였다. 2017년 1월라스베이거스에서열린 Consumer Electronics Show (CES) 2017을보면 4차산업혁명이우리곁에빠르게다가오고있고, 더나아가어떤면에서는이미우리생활깊숙이들어와있음을알수있다. CES 2017에서는인공지능 (AI), 로봇, 사물인터넷 (IoT) 등 4차산업혁명을대표하는최신기술들이소개되었다. 4차산업혁명을대표하는기술들은공통적으로아날로그신호들을감지하고, 이신호들을어플리케이션에서처리하기용이하도록디지털신호로변환하는과정이필요하다. 변환된디지털신호는용도에맞게디지털신호처리과정을거치게된다. 이러한디지털신호처리를효율적으로하기위해서는저전력 / 고성능디지털신호처리프로세서가필수적이다. 디지털신호처리를위해서는복잡하고많은연산이필요하다. 특히곱셈은디지털신호처리에필수적인연산이다. 따라서디지털신호처리프로세서에서곱셈기는가장중요한하드웨어연산기중하나라할수있다. 하지만곱셈기는일반적으로 energy-hungry 특성을가지는연산기로알려져있다 [1]. 따라서고효율디지털신호처리프로세서설계를위해서는저전력으로동작하면서고성능을보이는곱셈기설계가선행되어야한다. 효율적인디지털신호처리를위한저전력 / 고성능곱셈기설계와관련해서많은연구들이진행되고있다. 특히기존의 100% 정확도를필요로하는컴퓨팅패러다임에서벗어나최종결과값에큰영향을주지않는약간의오차를허용하는근사컴퓨팅기법이하나의대안적인패러다임으로부각되면서 [2]-[4], 곱셈기설계에도근사컴퓨팅기법을적 432 _ The Magazine of the IEIE 24

31 고효율디지털신호처리를위한근사곱셈기설계 용하는사례들이증가하고있다 [1],[5]-[16]. 디지털신호처리어플리케이션의경우허용가능한오차가결과에큰영향을주지않거나, 디지털신호처리전아날로그신호자체의노이즈로인해디지털신호처리프로세서의입력이원래부터부정확한경우가많다. 이는근사적으로연산결과를출력하는것이최종결과값에크게영향을주지않을수있다는것을의미한다. 본논문에서는근사컴퓨팅기법의개념을간략히살펴보고, 근사컴퓨팅기법을저전력 / 고성능곱셈기를설계에적용한최신연구에대해알아본다. 또한근사곱셈기가실제디지털신호처리어플리케이션에어떻게적용되었는가를알아보고, 이를통해디지털신호처리프로세서에근사곱셈기를사용함으로써얻을수있는효과를알아보고자한다. Ⅱ. 근사컴퓨팅 최종결과값의품질손실이 10% 미만으로유지되면서어플리케이션의성능을 2~3배증가시킬수있음을보였다. 아키텍처레벨에서의대표적인근사컴퓨팅기법중하나는메모리시스템에근사컴퓨팅기법을적용하여저전력동작을구현하는것이다. 그중에서가장널리알려진기법중하나인 Flikker라는기법을소개한다 [18]. Flikker는 DRAM의 refresh 주기를조절하여불필요한전력소모를줄이는기법이다. DRAM을구성하는 bank 를 critical data를저장할 bank와 non-critical data를저장할 bank로나눈후, critical data가저장된 bank에는기존의 refresh 주기를유지하고, non-critical data 가저장된 bank에는기존의 refresh 주기보다긴주기로 refresh를시키는것이핵심이다 (< 그림 2> 참고 ). 이를통해 DRAM 전력소모에서큰부분을차지하는 refresh 에의한전력소모를줄일수있음을보였다. 근사컴퓨팅 (approximate computing) 은디지털시스템을에너지효율적으로설계하는방법중하나로각광받고있다 [2]. 근사컴퓨팅은계산된결과값의손실을일정부분허용하는대신전력소모및연산속도와같은하드웨어특성에서이득을얻는컴퓨팅기법이다. 다행히많은디지털신호처리어플리케이션들은출력되는결과값의정확도에손실이일부있더라도오차가일정수준만넘지않으면의미있는결과값으로사용이가능한경우가많다. 따라서디지털신호처리어플리케이션은근사컴퓨팅기법적용에용이하다고볼수있다. 근사컴퓨팅을분류하는방법은다양하지만, 근사컴퓨팅기법이적용되는계층에따라분류하는것이일반적이다 [4]. 근사컴퓨팅을적용계층에따라분류하면 < 그림 1> 에서보듯 1) 소프트웨어레벨, 2) 아키텍처레벨, 3) 회로레벨로나눌수있다. 대표적인소프트웨어레벨근사컴퓨팅기법으로는 loop perforation [17] 이있다. Loop perforation은프로그램성능향상을위해프로그램내약간의품질저하가발생해도괜찮은부분의 loop를기존의반복횟수보다적게반복하여성능을향상시키는기법이다. 실험결과를보면 < 그림 1> 계층에따른근사컴퓨팅기법분류 [4] < 그림 2> Flikker 시스템개요 [18] 25 전자공학회지 _ 433

32 하민호, 이영주, 이승구 (a) (b) (c) < 그림 3> (a) 전통적인 mirror adder, (b) 근사화된 mirror adder 1, (c) 근사화된 mirror adder2 [19] 부정확한로직을이용하여연산기를설계하는방식은회로레벨근사컴퓨팅기법중널리쓰이는방법중하나이다. 트랜지스터레벨에서의근사를통해가산기셀을설계하는방식인 IMPACT가대표적이다 [19] (< 그림 3> 참고 ). IMPACT는기존의정확한 mirror adder를트랜지스터레벨에서근사시킨후, least significant bits (LSBs) 에근사가산기셀을적용하였다. LSB에만적용했기때문에결과값에큰손실없이전력소모를줄일수있음을보였다. 이외에도 error-resilient 부분을판별하는기법 [19] 이나시스템관점에서전체적인품질관리하는기법 [20] 등에대한연구들이진행되고있다. Ⅲ. 근사곱셈기설계연구 최근까지근사곱셈기설계와관련된여러연구들이수행되어왔다 [1],[5]-[16]. 본논문에서는그중대표적인방법인근사 4:2 compressor 기반곱셈기와근사 modified Booth 곱셈기에대해알아보고자한다 근사 4:2 compressor 기반근사곱셈기설계곱셈기는크게세부분으로구성된다 : 1) 부분곱생성, 2) 부분곱압축, 3) 최종덧셈. 부분곱을압축하는과정이곱셈과정전체에서전력소모와성능을결정하는데큰영향을미친다. 이러한부분곱압축과정을효과적으로수행하기위해 4:2 compressor를사용하는방식이제안되었다 [21]. 더나아가기존의정확한 4:2 compressor의로직을단순화하여근사 4:2 compressor를설계하고, 이근사 4:2 compressor를바탕으로근사곱셈기를설계하는연구들이활발히진행되어왔다 [9]-[11],[15]. 2015년 IEEE Trans. Comput. 에실린 Design and analysis of approximate compressors for multiplication [10] 에서근사 4:2 compressor 개념이처음제안되었다. 이논문에서는기존의정확한 4:2 compressor의진리표를수정하여두가지버전의근사 4:2 compressor를제안하였다. 두가지버전의근사 4:2 compressor (< 그림 4> 참고 ) 를 8-bit Dadda 곱셈기에적용 (< 그림 5> 참고 ) 하여기존의정확한곱셈기와의성능을비교하였다. (a) (b) (c) < 그림 4> (a) 정확한 4:2 compressor [21], (b) 근사 4:2 compressor 디자인 1 [10], (c) 근사 4:2 compressor 디자인 2 [10] 434 _ The Magazine of the IEIE 26

33 고효율디지털신호처리를위한근사곱셈기설계 < 그림 6> 근사 4:2 compressor 디자인 3 [11] (a) (b) (c) (d) < 그림 7> dual-quality 4:2 compressor 의근사부분 : (a) 디자인 1, (b) 디자인 2, (c) 디자인 3, (d) 디자인 4 < 그림 5> 정확한 4:2 compressor 와근사 4:2 compressor 로구성된 8-bit Dadda 곱셈기 [11] ([10]: 8-bit accurate region, 7-bit approximate region 으로설계, [11]: 7-bit accurate region, 4-bit approximate region, 4-bit truncated region 으로설계 ) 그결과, 지연시간은 3.38~26.52% 향상, 전력소모는 17.50~58.58% 향상, 트랜지스터개수는 14.03~48.15% 향상이되었음을알수있었다. Normalized error distance (NED) 는 ~ 로나오는것을확인할수있었다. [9] 에서는 [10] 에서제안된근사 4:2 compressor를그대로사용하되 recursive multiplication을기법을도입하여전체부분곱압축부분을작게쪼개는방법을채택하였다. 이후발표된 [11] 에서는 [10] 에서고려되지않았던근사 4:2 compressor의오차율까지고려하여낮은오차율의근사 4:2 compressor를설계하는방법을제안하였다 (< 그림 6> 참고 ). [10] 에서제안된근사 4:2 compressor 의오차율은 25/64인반면에 [11] 에서제안된근사 4:2 compressor의오차율은 1/256~1/16으로 [11] 에서제안된방식이 [10] 에서제안된방식에비해낮은오차율을보여주었다. 하드웨어특성 ( 전력소모, 지연시간, 면적 ) 을보면기존의다른근사곱셈기들과비교했을때전체적으로더나은성능을보여주었다. 가장최근연구인 [15] 는근사 4:2 compressor의정확도를고정시켜서사용했던기존연구들과는달리동적으로근사 4:2 compressor의정확도를변경시킬수있 는 dual-quality 4:2 compressor를제안했다. 근사부분의근사화정도에따라총 4가지버전의디자인을제안하였다 (< 그림 7> 참고 ). 32-bit Dadda 곱셈기에제안된 dual-quality 4:2 compressor를적용하였을때, 제안된디자인이평균 46% 지연시간향상및 68% 전력소모향상이된다는결과를보였다 근사 Modified Booth 곱셈기설계부분곱의개수를줄이는방법도곱셈기의성능을향상시킬수있는방법이다. 부분곱을줄이는대표적인방법이 Booth encoding이다. Modified Booth 곱셈기설계에근사컴퓨팅기법을적용하여 encoding의복잡성을완화시킴으로써면적, 전력소모, 지연시간을향상시키는연구들이제안되었다 [13], [16]. [13] 에서는피승수의홀수배를생성하는복잡성으로인해속도가느린 radix-8 Booth 곱셈기에근사컴퓨팅기법을적용하였다. 추가적으로캐리전파가없이트리플피승수를생성하기위한기록가산기의덜중요한부분을구현하기위해 2-bit 가산기를사용하였다 (< 그림 8> 참고 ). 제안된근사곱셈기는정확한 Booth 곱셈기보다빠르며전력효율이높다는것을보였다. 또한 15-bit truncation이있는곱셈기는다른근사 Booth 곱셈기설계와비교할때하드웨어및정확도면에서전체적으로가장좋은성능을보였다. [16] 에서는근사 radix-4 modified Booth encoding (MBE) 과근사 Wallace tree를이용한부분곱어레이 27 전자공학회지 _ 435

34 하민호, 이영주, 이승구 < 그림 8> 근사적인 8-bit 을가진근사기록가산기 [13] < 표 1> 16-bit 근사 Modified Booth 곱셈기성능비교 (NanGate 45 nm Open Cell Library 사용 ) [16]. Product-delay product (PDP), Normalized mean error distanc (NMED), Error rate (ER) [13] [16] 16-bit MBE Power (μw) Delay (ns) Area (μm 2 ) PDP (pj) 를기반으로근사 Booth 곱셈기를설계하는방법을제안하였다. 두가지방식의근사 radix-4 MBE가제안되었다. 지연시간, 면적및전력소모와같은하드웨어적특성과근사곱셈기의오차를모두고려할때제안된근사 radix-4 MBE 기반곱셈기가가장효율적임을보였다. < 표 1> 은 [13] 과 [16] 에서제안된곱셈기의성능을비교한표이다. 본논문에서는대표적인두가지근사곱셈기설계기법만을보였으나, 이외에도많은근사곱셈기설계방식들이있음을알려둔다. Ⅳ. 디지털신호처리과정에서근사곱셈기의활용예 NMED (10-5 ) ER (%) R8ABM R8ABM2-C R8AMB2-C R4ABM1 (p=12) R4ABM1 (p=14) R4ABM1 (p=16) R4ABM1 (p=18) R4ABM2 (p=12) R4ABM2 (p=14) R4ABM2 (p=16) R4ABM2 (p=18) 대표적인디지털신호처리어플리케이션으로이미지처리를들수있다. 앞에서설명한논문들을비롯한많은논문들에서근사곱셈기의실질적성능을보이기위해다 (a) (b) (c) < 그림 9> (a) 이미지합성과정, (b) 기존의곱셈기를이용한이미지합성결과, (c) 근사곱셈기 ([10] 의디자인 4) 를이용한이미지합성결과 양한이미지처리어플리케이션결과를이용하였다. 대표적인이미지처리어플리케이션예를통해근사곱셈기가실질적인디지털신호처리프로세서에활용가능성이크다는것을보이고자한다 이미지합성 (Image multiplication) [10], [15] 및 [16] 에서는이미지처리어플리케이션으로이미지합성을택했다. 이미지합성이란사이즈가같은두이미지를동일위치의픽셀끼리곱해서이미지를합치는과정이다. 즉, 이미지합성은여러번의곱셈이필요한어플리케이션이므로곱셈기의성능을잘보여줄수있다. [10] 에서제안한근사 4:2 compressor 기반곱셈기를이용해이미지합성을한결과는아래 < 그림 9> 과같다. < 그림 9> 를보면알수있듯이기존의정확한곱셈기를이용한이미지합성결과와근사곱셈기를이용한이미지합성결과를육안으로보았을때구분하기힘들다는것을알수있다. 근사곱셈기와정확한곱셈기의하드웨어특성 (CMOS 32 nm 공정 ) 을비교해보았을때전력소모가 26.15% 향상되었고, 트랜지스터개수는 22.42% 향상되었다. 따라서이미지합성처럼약간의오차가발생해도최종결과를사용하는데큰문제가없는경우라면근사곱셈기를사용하는것이큰이득이라는것을알수있다 이미지필터 (Image filter) [9], [11] 및 [15] 에서는이미지처리어플리케이션으로이미지필터중하나인선명화필터를택했다. 이미지선명화필터는아래와같이동작한다. 436 _ The Magazine of the IEIE 28

35 고효율디지털신호처리를위한근사곱셈기설계 (1) (2) [11] 에서제안된근사 4:2 compressor 기반근사곱셈 기를이용해이미지선명화필터를한결과는아래 < 그림 10> 과같다. < 그림 10> 을보면알수있듯이이미지합성에서와마찬가지로기존의정확한곱셈기를이용한이미지선명화필터결과와근사곱셈기를이용한이미지선명화필터결과를육안으로보았을때구분하기힘들다는것을알수있다. 근사곱셈기와정확한곱셈기의하드웨어특성 (CMOS 65 nm 공정 ) 을비교해보았을때전력소모가 29.22% 향상되었고, 면적은 21.54% 향상되었다. 이미지선명화필터어플리케이션에서도근사곱셈기가유용할수있음을알수있다. Ⅴ. 결론 AI, 로봇, IoT 등 4차산업혁명을대표하는최신기술들이발전됨에따라더많은분야에서고효율디지털신호처리를필요로할것이다. 고효율디지털신호처리를위해서는디지털신호처리만을따로전담하는전용프로세서가필요하다. 많은디지털신호처리어플리케이션들에서곱셈은가장중요한연산중하나이므로디지털신호처리프로세서를구성하는연산블록중곱셈기는중요한역할을차지하는것은자명하다. 따라서고효율디지 (a) (b) (c) < 그림 10> (a) 이미지선명화필터예시, (b) 기존의곱셈기를이용한이미지선명화필터결과, (c) 근사곱셈기 ([11] 의디자인 3) 를이용한이미지선명화필터결과 털신호처리프로세서설계를위해서는저전력 / 고성능곱셈기설계에대한연구도수반되어야한다. 이러한요구에맞춰최근들어저전력 / 고성능곱셈기설계에근사컴퓨팅기법을도입하는연구가늘어나고있다. 많은디지털신호처리어플리케이션들이입력에노이즈가섞여있거나, 결과값이반드시정확할필요가없다는성질을가지고있기때문에디지털신호처리프로세서에이용되는곱셈기설계에근사컴퓨팅기법을적용하는것이가능하다. 여러근사곱셈기연구를통해근사곱셈기가기존의일반적인곱셈기와비교하였을때전력소모, 지연시간, 면적등과같은하드웨어특성에서많이향상되었음을볼수있었다. 또한실제디지털신호처리어플리케이션에사용하였을때근사곱셈기를이용해서얻은결과값이어플리케이션사용에큰지장이없을정도의오차만발생하는것을확인할수있었다. 앞서이야기한것처럼기술이발전할수록더많은디지털신호처리가필요할것이다. 특히가면갈수록모바일이나임베디드환경에서의디지털신호처리가증가할것으로예상된다. 따라서고효율디지털신호처리프로세서설계연구는필수적이며, 이를위해서는저전력 / 고성능곱셈기에대한연구도계속적으로증가할것으로생각된다. 참고문헌 [1] S. Narayanamoorthy et al., Energy-efficient approximate multiplication for digital signal processing and clsssification applications, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., [2] J. Han and M. Orshansky, Approximate computing: an emerging paradigm for energy-efficient design, Proc. European Test Symposium (ETS), [3] S. Mittal, A survey of techniques for approximate computing, ACM Comput. Surv., [4] Q. Xu et al., Approximate computing: a survey, IEEE Design & Test, [5] K. Bhardwaj et al., Power- and area-efficient approximate wallace tree multiplier for error-resilience systems, Proc. Int. 29 전자공학회지 _ 437

36 하민호, 이영주, 이승구 Symp. Quality Electronic Design (ISQED), [6] B. Shao and P. Li, Array-based approximate arithmetic computing: a general model and applications to multiplier and squarer design, IEEE Trans. Circuits Syst. I, Reg. Papers, [7] G. Zervakis et al., Hybrid approximate multiplier architectures for improved power-accuracy trade-offs, Proc. IEEE/ACM Int. Symp. Low Power Electronics and Design (ISLPED), [8] S. Hashemi et al., DRUM: A dynamic range unbiased multiplier for approximate applications, Proc. IEEE/ACM Int. Conf. Computer-Aided Design (ICCAD), [9] N. Maheshwari et al., A Design approach for compressor based approximate multipliers, Proc. Int. Conf. VLSI Design (VLSID), [10] A. Momeni et al., Design and analysis of approximate compressors for multiplication, IEEE Trans. Comput., [11] Z. Yang et al., Approximate compressors for error-resilient multiplier design, Proc. IEEE Int. Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), [12] M. Shafique et al., Cross-Layer approximate computing: from logic to architectures, Proc. ACM/EDAC/IEEE Design Automation Conf. (DAC), [13] H. Jiang et al., Approximate radix-8 booth multipliers for low-power and high-performance operation, IEEE Trans. Comput., [14] R. Zendegani et al., RoBA multiplier: a rounding-based approximate multiplier for high-speed yet energy-efficient digital signal processing, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., [15] O. Akbari et al., Dual-quality 4:2 compressors for utilizing in dynamic accuracy configurable multipliers, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., [16] W. Liu et al., Design of approximate radix-4 booth multipliers for error-tolerant computing, IEEE Trans. Comput., [17] S. Misailovic et al., Quality of service profiling, Proc. ACM/ IEEE International Conference on Software Engineering (ICSE), [18] S. Liu et al., Flikker: Saving refresh-power in mobile devices through critical data partitioning, Proc. Int. Conf. Architect. Support Programm. Lang. Oper. Syst. (ASPLOS), [19] A. Sampson et al., EnerJ: Approximate data types for safe and general low-power computation, Proc. Int. Conf. Programm. Lang. Design Implement. (PLDI), [20] M. Samadi et al., SAGE: Self-tuning approximation for graphics engines, Proc. Int. Symp. Microarchitect. (MICRO), [21] C.-H. Chang et al., Ultra low-voltage low-power CMOS 4-2 and 5-2 compressors for fast arithmetic circuits, IEEE Trans. Circuits Syst. I, Reg. Papers, 하민호 2015 년 2 월성균관대학교, 전자전기공학부학사졸업 2017 년 2 월포항공과대학교, 전자전기공학과석사졸업 2017 년 3 월 ~ 현재 포항공과대학교전자전기공학과박사과정 < 관심분야 > Embedded System Architecture, Approximate Computing 438 _ The Magazine of the IEIE 30

37 고효율디지털신호처리를위한근사곱셈기설계 이영주 2008 년 2 월 KAIST, 전기및전자공학과학사졸업 2010 년 2 월 KAIST, 전기및전자공학과석사졸업 2014 년 2 월 KAIST, 전기및전자공학과박사졸업 2014 년 5 월 ~2015 년 2 월벨기에 IMEC 연구원 2015 년 3 월 ~2017 년 2 월광운대학교전자공학과조교수 2017 년 2 월 ~ 현재포항공과대학교전자전기공학과조교수 < 관심분야 > Embedded SoC 설계및최적화 이승구 1985 년 2 월 University of Kansas, Electrical Engineering 학사졸업 1987 년 2 월 University of Michigan, Ann Arbor, Electrical Engineering and Computer Science 석사졸업 1990 년 2 월 University of Michigan, Ann Arbor, Electrical Engineering and Computer Science 박사졸업 1990 년 3 월 ~1990 년 10 월 University of Delaware 조교수 1991 년 6 월 ~ 현재포항공과대학교전자전기공학과교수 < 관심분야 > Mobile Ad-Hoc Networks, Parallel and Distributed Computing, Real-Time Computing, Fault-Tolerant Computing, ASIC Design 31 전자공학회지 _ 439

38 특집 저전력디지털신호처리가속기설계기술 저전력디지털신호처리 가속기설계기술 Ⅰ. 서론 전동석 서울대학교 인류의역사, 특히기술발전의역사를해석하기위한하나의접근방법은인간과주변환경사이의지속적인상호관계속에서인간이관찰한것을더잘이해하고자하는욕망에서발현된일련의지적탐구의과정으로이해하는것이다. 비근한예로전자공학의주요한축을담당하는컴퓨터또는컴퓨팅시스템의역사를들수있다. 최초의기계식컴퓨터로일컬어지는 Charles Babbage의 Difference Engine은복잡한천문학적연산을빠르고실수없이실행하기위한목적으로설계되었고, 현대적인형태를갖춘컴퓨터의시초인 ENIAC 역시포탄의궤적을빠른계산을통해이를미리예측하고자하는인간의욕구로인해탄생할수있었다. 같은맥락에서시야를더넓혀서생각해보면인류의기술발전은시각을비롯한다양한형태로얻어진정보를가공, 처리하여각개체의주위환경을더잘이해하고이를적극적으로활용하고자하는인간본성의발로라고할수있을것이다. 지난수십년간지속적이면서도빠르게발전해온반도체공정및회로설계기술은인간사회에유례없는혁신을가져다주었고, 이제는기술의목적이단순한자연현상의이해에서벗어나사람간의새로운형태의의사소통과문화창출의도구로이동하고있음을우리는목도하고있다. 이제는너무나익숙해진도구인 PC와스마트폰뿐만아니라 IoT 디바이스의범주에속하는형태에구애받지않는다양한컴퓨팅디바이스가끊임없이개발되어우리주변을채우고있다. 이러한기술의번영, 특히모바일디바이스의소형화, 고성능화, 다각화는끊임없이발전하는반도체관련기술이가능케한저전력컴퓨팅에많은빚을지고있다. 스마트폰등모바일플랫폼은연산성능의비약적증가에힘입어기 440 _ The Magazine of the IEIE 32

39 저전력디지털신호처리가속기설계기술 지털회로는각각의연산에소모되는에너지가시스템의전력소모와직결된다. 디지털회로의전력소모는크게동적전력 (Dynamic Power) 과정적전력 (Static Power) 으로구분하며아래의식으로나타낼수있다. < 그림 1> Iphone 7의내부구조 [1] 존에는상상할수없을정도로많은활용영역에서사용되고있으며현재에도그영역을계속적으로넓혀가고있다. 그래픽처리능력이 PC와유사한수준으로빠르게발전하면서모바일게임은물론본격적인무선 VR의시대로다가서고있다. 10nm 이하의작은반도체소자가상용화된지금, 각반도체소자의효율성과성능은크게개선되었지만반도체관련기술에비해현저히느리게발전하고있는배터리의부피및무게당저장용량으로인해시스템의전력자원이심각하게제한되는상황은그대로유지되고있다. 그결과사용시간의극대화를위해대부분의모바일시스템에서는배터리가가장큰비중을차지하고있다 (< 그림 1>). 따라서제한된전력자원으로최적화된사용자경험을제공하고사용시간을극대화할수있는저전력컴퓨팅기술이모바일시스템설계의핵심기술이라고할수있다. 본글에서는모바일컴퓨팅플랫폼을위한저전력하드웨어설계기술의다양한측면에대해살펴볼예정이다. CPU를비롯한범용프로세서와는달리특정연산을고효율로처리할수있도록설계된디지털신호처리가속기를중심으로, 본글에서는지난몇년간발표된디지털신호처리하드웨어를소개하고이를통해다양한전력저감기술을소개할것이다. P dyn = αcv 2 DD f+i SC V DD (1) P static = ( Ileak + I contention )V DD (2) 동적전력 (Eq. 1) 은각디지털회로가다음단의 input capacitance 및 parasitic capacitance(c) 를충전및방전하는데필요한 switching power와회로의입출력신호가바뀌는과정에서짧은시간동안 NMOS와 PMOS 가동시에약하게켜지면서발생하는 short circuit current(i SC ) 로구성되어있으며 (< 그림 2>) 일반적으로전자가 80~90% 를차지한다. 정적전력 (Eq. 2) 은 CMOS 소자의 gate, diffusion 영역등에서발생하는누설전류 (I leak ) 와특정회로구조에서 pull-up network와 pull-down network가동시에켜지면서발생하는 contention에기인한전력소모로구분할수있다. 일반적인 static complementary CMOS 회로에서는 contention 부분은무시가능한수준이다. 65nm와그이전의 CMOS 공정에서는상대적으로큰소자의크기와높은동작전압으로인해동적전력이시스템의전력소모에서대부분을차지하였다. 따라서저전력설계기술은같은연산을수행할때소모되는동적전력소모를줄이는것에집중되었다. 하지만공정소형화가계속되면서전체시스템전력소모에서누설전류가차지하는부분이증가하여최신설계에서는정적전력소모역시반드시고려해야하는중요한요소가되었다 [2]. 위에서살펴본동적및정적전력소모는저전력프로세서또는가속기설계에서폭넓게적용되어각시스템의 Ⅱ. 디지털시스템의전력효율성 디지털하드웨어의소모전력감소와효율성제고를위해서는먼저시스템의각구성요소가전력소모에미치는영향을알아야한다. 동작상태에서의지속적인전력소모가효율성의주요척도인아날로그회로와는달리디 < 그림 2> 인버터의동적전력 33 전자공학회지 _ 441

40 전동석 효율성비교를위한하나의측정기준 (metric) 으로사용되고있다. 하지만 Eq. 1과 2에서볼수있듯이전력소모는동작주파수, 전압등여러동작조건에직접적으로영향을받기에서로다른시스템의효율성비교에는적합하지않다. 그대신시스템이주어진작업을완료하는데소모한총에너지를환산하여이를측정기준으로삼는것이바람직하다. 이때한번의연산 (Operation) 당소모되는에너지와주어진작업에필요한총연산의수를알면필요한전체에너지를쉽게알수있으며, 이를식으로나타내면아래와같이표현할수있다 [2-4]. Energy / Op * #Op = Total Energy (3) 위방식은특히한정된전력자원을갖는시스템에서유용한데, 배터리가한번충전된이후저장된에너지로얼마나많은연산을수행할수있는지, 그리고가능한사용시간이얼마인지를쉽게알수있다는장점이있다. 본글에서는위의 Eq. 3에서전력소모를결정하는연산당소모에너지 (E/Op) 와주어진작업또는알고리즘을완료하기위해필요한연산의수 (#Op) 를줄임으로써전력효율성을개선할수있는여러기법에대해소개할것이다. Ⅲ. 저전력신호처리가속기설계기술 신호처리가속기는특정연산또는알고리즘을고효율로처리하기위해주로사용된다. 즉, 어떠한작업을수행하고어떤결과를내야하는지가명확하게주어져있으며, 단위시간당처리해야할데이터의양도미리알수있다는설계상의장점이있다. 고효율및저전력가속기제작을위해서는이와같은하드웨어가속기의특성을잘이해하고설계과정에서적극적으로이용하는것이핵심이라고할수있다. 디지털신호처리가속기설계는크게보면총세개의축으로구성되어있음을알수있다. 하드웨어가입력데이터를처리하여원하는형태의출력을내기위한적절한알고리즘이필요하고, 이를하드웨어에서효율적으로수행하기위해적합한하드웨어아키텍처가필요하다. 마지 막으로하드웨어의각모듈을실제로반도체소자로구현하려면회로구조의개발역시이루어져야한다. 일반적인경우위의과정이순차적으로수행되며최적화과정은각단계별로이루어진다 (< 그림 3(a)>). 즉, 시스템의사용환경과성능목표가설정되면이를달성하기위한여러알고리즘을찾아시뮬레이션을통해검증하고, 최고의성능을내는알고리즘중에서하드웨어로구현하기에적합한것을최종적으로선택한다. 이어서선택된알고리즘을가장낮은비용 ( 전력, die area 등 ) 으로구현할수있는하드웨어아키텍처를개발하고, 이를실제 standard cell library와 memory compiler 등을이용한합성과정및회로구조설계를통해최종적인하드웨어설계를얻는다. 마지막으로다양한 CAD tool을이용해서하드웨어를검증하고, 만약성능, 효율성등의목표를달성하지못하면하드웨어아키텍처와회로구조등을개선하는작업을추가로수행한다. 하지만위에서설명한순차적인설계방법은이전단계에서확정된설계에따라각단계에서추가적으로제한적인최적화만가능케한다는단점을지닌다. 이러한문제를해결하기위해설계과정에서여러요소를동시에고려하여시스템의성능을그대로유지하면서효율성을극대화할수있는합동최적화 (Co-optimization) 설계기법이제안되었다 (< 그림 3(b)>) [10]. 이는시스템설계의구성요소중두개이상을동시에최적화공간 (Optimization Space) 에대입하여그공간내에서최적점을찾는설계방법이다. 일례로알고리즘설계및최적화단계에서효율적인하드웨어아키텍처구현가능 (a) (b) < 그림 3> (a) 순차적설계와 (b) 합동최적화설계의흐름도 442 _ The Magazine of the IEIE 34

41 저전력디지털신호처리가속기설계기술 성을고려할수있다. 즉, 단순히알고리즘의성능이나총연산수등의일차원적인비용함수 (Cost Function) 대신에, 하드웨어아키텍처로환원했을경우에메모리접근용이성, 메모리대역폭의최대치, 연산병렬화 (Parallelization) 의가능성, 고정소수점연산으로변환시성능저하정도등을종합적으로고려하여알고리즘자체를하드웨어구현에용이하게재설계하는방법이가능할것이다. 위에서제안한합동최적화설계기법을중심으로, 본글에서는하드웨어설계의세축을어떻게결합하여기존연구와다른새로운형태의하드웨어설계안을도출할수있을지최근발표된연구들을통해확인해본다. (a) < 그림 4> Voltage scaling 에따른 inverter chain 의 (a) delay 와 (b) 에너지추이 (b) 1. 아키텍처-회로설계최적화 Eq. 1에서살펴봤듯이디지털회로의동적전력은동작전압의제곱에비례하고, 따라서전압감소또는조정 (Voltage Scaling) 을통해전력소모를극적으로감소시킬수있다. 일반적으로사용되는 Static Complementary CMOS 회로구조는큰 Noise Margin 을갖고있기때문에상당히낮은전압에서도문제없이동작가능하다. 하지만전압이낮아질수록공정-전압-온도변이 (PVT Variation) 와영향이더크게나타나는문제가생기며, 회로의동작속도가급격히느려지는현상이발생한다. 그결과전압이문턱전압 (Threshold Voltage) 근처에이르게되면궁극적으로정적전력이동적전력을능가하게되는결과로이어진다. E = αcv 2 + I DD leakv DD T CLK (4) 시스템의전력또는에너지효율성을계산하기위해서는각연산당, 또는한 clock cycle 마다소모되는에너지를구해야하는데, 이는 Eq. 4로표현될수있다. 동작전압이낮아지면 V DD 와 I leak 이모두줄어들지만, 동시에동작속도가느려지면서한 clock의주기 (T CLK ) 는더빠른속도로증가하기때문에, 정적전력을나타내는두번째항은오히려증가하게된다. < 그림 4> 는 inverter chain을동작전압을낮춰가면서구동시켰을때의 delay와 clock cycle당에너지소모를나 < 그림 5> 메모리기반아키텍처를차용한 FFT 가속기 [3] 타낸것이다. 동적전력과정적전력의합인총에너지소모는계속줄어들다가일정전압 (V min ) 에서최소값 (E min ) 을가지고, 이후정전전력에의해다시증가하게된다. 즉, voltage scaling을적용한다하더라도계속효율성이개선되는것이아니라이론적으로얻을수있는최대의효율성이존재한다는것이이론적으로증명되었다 [4]. 이러한현상은실제로신호처리가속기 IC를통해서도실험적으로증명되었다. 메모리기반의아키텍처 (< 그림 5>) 로구현된 FFT(Fast Fourier Transform) 가속기설계에서실제도에너지소모의최저점이존재한다는것이밝혀졌고, 해당지점에서시스템을구동함으로써최대의에너지효율성을얻을수있음을보였다 (< 그림 6>) [3]. 이결과는회로설계의관점에서이론적으로가능한최대에너지효율성을실제로달성하였다는것에의의가있으며, 실제로이후오랜기간가장높은효율을갖는 FFT 가속기의기록을보유하고있었다. 위의설계에서는디지털회로자체의이론적인한계를달성한것이었고, 따라서가속기의에너지효율성을이이상으로높이기위해서는다른접근방법이필수적임 35 전자공학회지 _ 443

42 전동석 < 그림 6> Voltage scaling에따른에너지소모의예측및측정값 [3] 을알수있다. 이문제에대해하드웨어아키텍처와결합하여합동최적화과정을통해진일보된해결책을제시한가속기설계가 [5] 에서발표되었다. 단순한 voltage scaling의적용에서탈피하여, 기존에존재하던여러형 태의 FFT 가속기아키텍처 (memory-based, pipelined, hybrid, many-core 등 ) 를에너지효율성관점에서분석하였고, 그결과 pipelined architecture가메모리의누설전력을억제함으로써최적전압 (V min ) 과최저에너지 (E min ) 를동시에줄일수있음을확인하였다. 또한, pipelined architecture를더많이병렬화하여동시처리량 (throughput) 을늘림으로써에너지효율성을추가적으로개선할수있음을확인하였다 (< 그림 7>). 위에설명된에너지소모량관점에서수행된아키텍처의최적화과정을통해 < 그림 8> 에보여지는형태의병렬 FFT 가속기구조가제안되었다. 65nm에서제작된 IC 의측정결과 1024-point FFT 연산당 15.8nJ의에너지만을소모함을확인하였으며, 기존에발표된결과에비해 2.4배개선된에너지효율성을보였다. FFT 가속기관련연구가수십년간지속된점을고려했을때, 이는매우큰폭의효율성개선임을알수있다. < 그림 7> FFT 가속기의병렬화에따른 die area 와에너지소모량 [5] < 그림 8> 2 개의 processing lane 을갖는병렬 FFT 가속기의구조 [5] 2. 알고리즘-아키텍처최적화 FFT 알고리즘은상대적으로연산이단순하며관련분야에서하나의기준점으로오랜기간사용되었기때문에알고리즘의추가적인최적화를기대하기어렵다. 그러나최근머신러닝의급격한발전으로인해기존의알고리즘에비해현저히개선된다양한종류의알고리즘이존재하고있으며, 이는성능저하를최소화하면서도더효율적인하드웨어구현을위해기존의알고리즘을최적화할수있는가능성과자유도를제공한다. 특성추출 (Feature Extraction) 은주어진입력데이터에서원하는종류의정보또는특성값을추출하는알고리즘으로, 머신러닝분야전반에서폭넓게사용되며특히이미지또는비디오를다루는컴퓨터비전에서많이사용된다. 이미지의경우해상도에따라처리해야할픽셀의수, 즉차원 (Dimensionality) 이너무많아이를특성추출과정을통해처리함으로써이후인식 (Recognition) 또는분류 (Classification) 과정에서처리해야할데이터의양을크게줄이는역할을한다. 특성추출을위해가장많이사용되던알고리즘중하나는 SIFT(Scale-Invariant Feature Transform) 이다 [6]. 444 _ The Magazine of the IEIE 36

43 저전력디지털신호처리가속기설계기술 여러 scale의 Gaussian filter에이미지를통과시켜그차이에서극점을찾아내는방식으로, 회전과크기변화를포함한이미지의다양한변형하에서도같은특성을추출할수있기에알고리즘의신뢰성을담보할수있다. 하지만이미지처리과정에서요구연산량이많아서고속처리가어렵다는단점이있었고, 이를개선하기위해보다간소화된 SURF(Speeded-Up Robust Features) 알고리즘이제안되었다 [7]. 필터형태의최적화등의기법으로기존 SIFT 알고리즘에비해 3배내외의속도향상을달성하면서도동등한수준의정확도를얻을수있었다. SURF 알고리즘은적은연산량으로전력자원이제한된시스템에적합하다. 실제로소형드론인 MAV(Micro Air Vehicle) 에탑재되어밀폐된실내공간에서 SLAM(Simultaneous Localization and Mapping) 을통해자율비행을하는데사용되었다 [8-9]. 그러나여전히높은알고리즘의연산요구량과마이크로프로세서의제한된컴퓨팅자원으로인해초당최대 1개의프레임만을처리할수있었으며소모전력도 1W에달하였다. 충분한비행시간을확보하기위해서는전력효율성을획기적으로개선하는것이필요하여 ASIC(Application-Specific IC) 의형태로하드웨어가속기의개발이진행되었다. 하지만 SURF 알고리즘에서는이미지의각부분에서 sampling을수행하여각지점의대표각 (Orientation) 을찾아내고, 이각도에따라 sampling window를새로정의하여다시 sampling 및이미지처리를해야한다. 이로인해높은메모리대역폭이필요하며병렬처리를구현하기도어렵다. 따라서하드웨어가속기를개발한다하더라도범용프로세서에비해크게개선된효율성을얻기어렵다는한계가존재한다. [10] 에서는이러한문제를알고리즘과하드웨어아키텍처의합동최적화로해결할수있음을보여준다. 각 sampling 지점에서 sampling window를중심각의크기가 11.25도인부채꼴의형태로세부영역을나누어추출을수행하고, 대표각을찾은뒤에기존의 sampling 결과를단순히재배열함으로써두단계의특성추출알고리즘을하나로통합하였다. 그결과메모리요구량이 89% 감소하였고, 시뮬레이션결과제안된알고리즘이기존 SURF와비교했을때동등한수준의불변성 (Invariance) 을갖는것을확인하였다 (< 그림 10>). 또한위의알고리즘최적화는각추출지점주위의 sampling region을임시로저장해야한다는제한조건을완전히제거하여새로운가속기하드웨어아키텍처개발을가능케하였다. < 그림 11(a)> 에그려진기존의멀티코어아키텍처에서는하나의 data bus를여러개의코어또는 PE(Processing Element) 가공유하고있기때문에데이터병목현상을피하기위해 data bus가고속도로동작해야한다. 하지만변형된알고리즘을적용하면 (a) < 그림 10> 변형된 SURF 알고리즘의이미지크기조정및회전에대한불변성특성 [10] (a) (b) (b) < 그림 9> 제안된특성추출알고리즘 [10] < 그림 11> (a) 기존멀티코어아키텍처와 (b) 제안된 single-stream 아키텍처 [10] 37 전자공학회지 _ 445

44 전동석 < 그림 12> 변형된 SURF 기반하드웨어가속기구조 [10] < 그림 13> 가속기 IC의측정환경 [10] < 그림 11(b)> 의 single-stream 아키텍처를사용하는것이가능하다. Data bus를통해전체이미지가일정한속도로전송되면, 각각의 PE는필요한영역의데이터만을선택하여실시간으로처리 (on-the-fly processing) 하고입력데이터를별도로메모리에저장하지않는다. 따라서 data bus가저속도로동작가능하고, 각 PE의크기및전력소모도크게줄일수있게된다. 위에서설명한알고리즘과아키텍처의최적화과정을종합하여 < 그림 12> 의하드웨어가속기구조가제안되었다. 28nm에서제작된가속기 IC는 30fps의속도로 VGA 입력비디오를처리하면서 2.8mW의전력만을소모하였다 (< 그림 13>). 이는기존에보고된연구결과에비해 3.5배개선된전력효율성을보이는것이다. 경이용이하고, 또최적화를통해더많은전력효율성개선을달성할수있기때문이다. 그리고현실적으로 IC 제작과정에서 foundry에서제공한 standard cell library 와 memory compiler에의존하여하드웨어설계를진행하기때문에, 회로구조의변경이쉽지않고재설계에많은비용이투입되어야하는문제점이존재한다. 그러나신호처리하드웨어의설계기술이성숙되고, 특히딥러닝을비롯한최신알고리즘의성능이충분히발전하여일종의표준이존재하는현상황에서는효율성의지속적인개선을위해서새로운돌파구가필요한상황이다. 따라서지금까지는많이연구되지않았던가장높은층위인알고리즘과가장낮은층위인회로설계를결합하여최적의설계를탐색하는새로운접근방법이대안이될수있을것이다. 이러한알고리즘-회로설계합동최적화의예는 [11] 에서찾아볼수있다. 사물인식 (Object Recognition) 은컴퓨터비전분야에서지난수십년간주요하게다뤄진문제이다 [12-13]. 또한얼굴인식 (Face Recognition) 은사물인식의일부로출발하여현재는독립된분야로활발히연구되고있다 [14]. 최근급격히발전한딥러닝을포함하여대부분의얼굴인식알고리즘에서는입력이미지에서적절한특성 (Feature) 을추출하고, 이를이용해분류기 (Classifier) 를학습시켜얼굴인식기능을구현한다. [11] 에서는인식알고리즘에서많이사용되는 Haarlike feature 기반의 cascaded classifier [15] 로얼굴검출을구현하고, 인식된얼굴에 PCA(Principal Component Analysis) 를적용하여 Eigenface를추출 [16] 하였다. 이후 SVM(Support Vector Machine) 기반인식기에서데이터베이스에서해당인물을찾아내는방식으로진행된다 (< 그림 14>). 3. 알고리즘-회로설계최적화일반적으로신호처리가속기설계과정에서는사용하는알고리즘과이를구현하는하드웨어아키텍처가가장중요하게다뤄진다. 이는상위계층으로갈수록설계변 < 그림 14> 얼굴인식알고리즘의흐름도 [11] 446 _ The Magazine of the IEIE 38

45 저전력디지털신호처리가속기설계기술 설계단계에서먼저위에서언급된알고리즘과아키텍처최적화기법을얼굴인식가속기에도동일한방식으로적용하여 < 그림 15> 와같은얼굴인식가속기아키텍처를개발하였다. 에너지효율성의극대화를위해서알고리즘의학습데이터를 IC 내부메모리에저장해야했고, 이는알고리즘의최적화과정에서비용함수에포함되어학습데이터의저장량을큰폭으로줄이는성과를거두었다. 그러나이러한최적화과정이후에도총 500kB 이상의학습데이터가 on-chip memory 내에저장되어야할것으로예측되었고, 일반 CMOS 공정에서 SRAM으로구현하기에는매우큰 die area가필요할뿐만아니라허용수준을벗어나는누설전력이발생할것이자명하였다. 따라서메모리모듈의전력소모를줄이기위해알고리즘을고려한회로구조의최적화기법을시도하였고, 제안된얼굴인식알고리즘의특성을면밀히살펴본결과 on-chip memory에저장되는학습데이터는업데이트가매우드물게필요하다는점을확인하였다. 즉, 가속기초기화과정에서학습데이터를칩내부에저장하면찾고자하는인물목록을바꾸지않는한이를업데이트할필 요가없다. 따라서얼굴인식연산중에는단순히학습데이터를불러오기만하면되며, 이를이용하면메모리를읽기동작에최적화된형태로구현하여전력소모를낮출수있을것으로예상되었다. 위의특성을기반으로읽기연산에최적화된 (Mostly Read-Only) 5T bit cell 기반메모리를제안하였다 (< 그림 16>). 기존의 5T 또는 6T 구조와는달리 access transistor는읽기동작에만사용되며, 쓰기동작에는 V DD, V SS supply rail을사용한다. 8T 및 10T 구조와유사하게 access transistor의 gate에 bit cell의 internal node가연결되어한개의 bitline으로도신뢰성높은읽기동작을수행할수있다. 데이터를 bit cell에쓰기위해서는 power supply rail의전압을바꿔줘야하기때문에기존 bit cell에비해서많은에너지를소모하나, 위에서언급한바와같이가속기의일반적인사용환경에서는쓰기연산이거의일어나지않기때문에전체적인에너지효율성에는큰영향을미치지않는다. 시뮬레이션결과제안된 5T 구조는기존 6T에비해읽기동작당 38% 낮은에너지가필요했으며, 동시에 bit cell 면적도 7.2% 감소함을확인하였다. 이는기존에발표된 5T, 7T, 8T 등의구조와비교해서도현저히낮은수치이다. 제안된가속기설계는 40nm CMOS 공정에서제작되어 HD 해상도의비디오를 5.5fps의속도로처리하면서 23mW의전력만을소모하는것으로측정되었다. 또한제안된 5T의높은 read margin으로인해모든메모리모듈이 600mV에서도오류없이동작하였다. < 그림 15> 얼굴인식가속기의구조 [11] < 그림 16> 제안된 5T 메모리 bit cell 과쓰기동작의개념도 [11] (a) (b) < 그림 17> 시뮬레이션에서 (a) 기존 6T 구조와의읽기에너지비교및 (b) 메모리크기에따른최소동작가능전압 39 전자공학회지 _ 447

46 전동석 Ⅳ. 향후전망및결론 하드웨어는한번제작된이후에는수정이거의불가능하다는특성이있고, 따라서실험적인최신신호처리알고리즘을즉각적으로반영하기에는현실적인어려움이있다. 신호처리가속기는최신의알고리즘보다는안정화, 최적화가완료되어널리사용되는알고리즘을기반으로제작되는것이일반적이다. 같은맥락에서, 신호처리가속기관련연구의미래는알고리즘분야에서현재이루어지는연구를보면예측할수있다. 지난몇년간딥러닝의성능이발전하고그활용영역이급격히확대되면서인공지능에대한관심은이미그정점에다다랐다고해도과언이아니다. 보다더다양한형태의딥러닝알고리즘이하드웨어가속기의형태로구현될것이며, 특히 IoT 등모바일플랫폼에대한시대적요구와맞물려서각클라이언트에서획득한데이터를실시간으로정확히처리하는데필수적인저전력딥러닝가속기에대한수요는급증할것이다. 현시점에서가장기본적이며널리사용되는 CNN (Convolutional Neural Network) 과 RNN (Recurrent Neural Network) 의하드웨어구현연구는이미상당부분진척되었다. 위에서살펴본설계기법들이일정부분하드웨어아키텍처최적화 [17-18], 알고리즘최적화 [19-20], 회로설계를통한최적화 [21-22] 의형태로제안되어유의미한효율성개선을이루었다. 그러나여전히스마트폰과같은소형모바일시스템에서고성능알고리즘의실시간처리를 always-on 형태로달성하기까지는많은장벽이남아있으며, 본글에서소개한다양한설계기법이적극적으로결합, 적용되어새로운돌파구가마련되기를기대해본다. 참고문헌 [1] [2] G. Chen et al., Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells, in IEEE Int. Solid- State Circuits Conf. Dig. Tech. Papers, Feb. 2010, pp [3] A. Wang and A. Chandrakasan, A 180-mV subthreshold FFT processor using a minimum energy design methodology, IEEE J. Solid-State Circuits, vol. 40, no. 1, pp , Jan [4] B. Zhai et al., Theoretical and Practical Limits of Dynamic Voltage Scaling, in Proc. Design Automation Conf., May 2005, pp [5] D. Jeon et al., A Super-Pipelined Energy Efficient Subthreshold 240 MS/s FFT Core in 65 nm CMOS, IEEE J. Solid-State Circuits, vol. 47, no. 1, pp , Jan [6] D. G. Lowe, Object recognition from local scale-invariant features, in Proc. IEEE Int. Conf. on Computer Vision, Sep. 1999, pp [7] H. Bay et al., SURF: Speeded Up Robust Features, Computer Vision and Image Understanding, vol. 110, no. 3, pp , [8] S. Shen et al., Autonomous Multi-Floor Indoor Navigation with a Computationally Constrained MAV, in Proc. IEEE Conf. on Robotics and Automation, May 2011, pp [9] G. Grisetti et al., Improving Grid-based SLAM with Rao- Blackwellized Particle Filters by Adaptive Proposals and Selective Resampling, in Proc. IEEE Conf. on Robotics and Automation, Apr. 2005, pp [10] D. Jeon et al., An Energy Efficient Full-Frame Feature Extraction Accelerator With Shift-Latch FIFO in 28 nm CMOS, IEEE J. Solid-State Circuits, vol. 49, no. 5, pp , May [11] D. Jeon et al., A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm CMOS, IEEE J. Solid- State Circuits, to appear. [12] M. Schwarz, H. Schulz, and S. Behnke, RGB-D object recognition and pose estimation based on pre-trained convolutional neural network features, in Proc. IEEE International Conference on Robotics and Automation, May. 2015, pp [13] A. Krizhevsky, I. Sutskever, and G. E. Hinton, Imagenet 448 _ The Magazine of the IEIE 40

47 저전력디지털신호처리가속기설계기술 classification with deep convolutional neural networks, in Proc. Advances in Neural Information Processing Systems, Dec. 2012, pp [14] N. Sumi, A. Baba, and V. G. Moshnyaga, Effect of computation offload on performance and energy consumption of mobile face recognition, in Proc. IEEE Workshop on Signal Processing Systems, Oct. 2014, pp [15] P. Viola and M. Jones, Rapid Object Detection using a Boosted Cascade of Simple Features, in Proc. IEEE Conf. on Computer Vision and Pattern Recognition, Dec. 2001, pp [16] M. A. Turk and A. P. Pentland, Face recognition using eigenfaces, in Proc. IEEE Conf. on Computer Vision and Pattern Recognition, Jun. 1991, pp [17] Y.-H. Chen et al., Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks, in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2016, pp [18] D. Shin et al., DNPU: An 8.1TOPS/W Reconfigurable CNN-RNN Processor for General-Purpose Deep Neural Networks, in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2017, pp [19] J. Sim et al., A 1.42TOPS/W Deep Convolutional Neural Network Recognition Processor for Intelligent IoE Systems, in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2016, pp [20] J. Chung and T. Shin, Simplifying Deep Neural Networks for Neuromorphic Architectures, in Proc. IEEE/ACM Design Automation Conference (DAC), June 2016, pp [21] P. A. Whatmough et al., A 28nm SoC with a 1.2GHz 568nJ/ Prediction Sparse Deep-Neural-Network Engine with >0.1 Timing Error Rate Tolerance for IoT Applications, in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2017, pp [22] S. Bang et al., A 288μW Programmable Deep-Learning Processor with 270KB On-Chip Weight Storage Using Non- Uniform Memory Hierarchy for Mobile Intelligence, in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2017, pp 전동석 2009 년 9 월서울대학교, 학사 ( 전자공학 ) 2014 년 12 월 University of Michigan, PhD (Electrical Engineering) 2014 년 10 월 ~2015 년 12 월 MIT, Postdoctoral Associate 2016 년 3 월 ~ 현재서울대학교융합과학기술대학원, 조교수 < 관심분야 > SoC/ 회로설계, 신호처리, 머신러닝 41 전자공학회지 _ 449

48 특집 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계기술및그응용 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계 기술및그응용 Ⅰ. 서론 신동엽 고려대학교 최근사물인터넷 (Internet of Everything, IoE) 시대의본격화에앞서저면적, 저전력 IoE 기기를위한전용프로세서 (Dedicated Processor) 개발이주목받고있다. 데이터센터와 IoE 기기간에주고받는엄청난양의데이터를통신트래픽, 고전력소모문제를해소하며효율적으로처리하기위해서는전용프로세서가기존범용프로세서 (General Purpose Processor) 보다효율적이다 < 그림 1>. 따라서미래의 IoE 기기에탑재될통신프로세서 (Communication Processor), 영상프로세서 (Image Processor) 등다양한전용프로세서의저면적, 저전력구현요구가크게증가할것으로예상된다. 이논문에서는통신프로세서와영상프로세서의저면적, 저전력구현을위해서 Digital Signal Processing (DSP) 에서가장자주쓰이는모듈중에하나인 Coordinate rotation digital computer (CORDIC) 에대해서논의해보고자한다. Jack E. Volder에의해 1959년처음제안 [1] 된 CORDIC은이후 John Walther 등에의해개선 [2] 되면서삼각함수, 쌍곡선함수, 로그및지수함수, 나눗셈등여러복잡한함수연산의저면적하드웨어구현에사용되어왔다. 복잡한함수연산의구현은큰하드웨어면적을요구하 박종선 고려대학교 < 그림 1> 저면적 / 저전력사물인터넷프로세서를위한전용프로세서 (Dedicated Processor) 의중요성 450 _ The Magazine of the IEIE 42

49 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계기술및그응용 는데, CORDIC은이러한복잡한연산을좌표계에서미리정해진각도의조합에의한회전으로나타내어구현에필요한하드웨어면적및그에따른전력소모를크게줄이는것이가능하다. 본논문에서는 CORDIC을이용한저면적, 저전력설계기술을살펴보고, 통신및영상프로세서의 QR 분해와 DCT에적용한사례들을간단히소개하고자한다. Ⅱ. CORDIC 기본구조및동작 통신, 영상프로세서의동작에는삼각함수와같은여러가지복잡한연산이수반된다. 삼각함수의경우, 덧셈, 곱셈뿐아니라훨씬하드웨어복잡도가큰제곱근과나눗셈연산으로구성되어있다 < 그림 2>. CORDIC을이용하면동일한삼각함수의연산을간단한 Shift와덧셈연산의반복만으로구현이가능하다. 이러한 CORDIC의기본구조및동작에대해서먼저알아보고자한다. 1. CORDIC 알고리즘및기본구조 2차원좌표계에서벡터를회전시키는회전행렬은 cos 및 sin 함수로구성되어있다 < 그림 3(a)>. 여기서 cos 을행렬식의바깥으로 factoring out하면 tan 로이루어진회전행렬로변형할수있다. 이때, 회전각도를 90도, 45도, 도, 도등과같이 tan 값이 2의지수승이되는각도들의조합으로나타내는것이가능하다. 2의지수승과의곱셈연산은 Shift 동작에해당하므로, 변형된회전행렬의곱셈연산은 Shift와덧셈 ( 뺄 < 그림 2> 삼각함수의구현에필요한연산 (a) (b) < 그림 3> (a) CORDIC 단위회전행렬의유도 (b) CORDIC 단위회전행렬연산의하드웨어구조. 셈 ) 반복연산으로구현된다. < 그림 3(a)> 의마지막행렬식이 CORDIC 연산의단위회전행렬 (pseudo-rotation matrix) 연산식으로, 처음에는 90도의회전각도로회전하고 i 값이커짐에따라점점작은각도로회전하여목표하는회전각도로수렴한다. 단위회전행렬연산의하드웨어구조는 < 그림 3(b)> 와같다. i를 0부터 n-1까지증가시키면서 Shift와덧셈으로구성된단위회전행렬연산을 n번반복하면한번의 CORDIC 연산이완료된다. 여기서알수있듯이, CORDIC 은기본적으로근사 (Approximate) 값을구하는연산이며연산의반복횟수인 n에따라근사값의정확도를조절할수있다. 즉, 연산의레이턴시 (Latency) 와결과의정확도사이에트레이드-오프 (trade-off) 관계가있다. n이커지면근사값의정확도가증가하지만, 그만큼단위 CORDIC 연산의반복횟수가증가하여최종적인연산의레이턴시는증가하게된다. 단위회전행렬을구하는과정에서행렬의바깥으로뽑아냈던 cos 값을 scale-factor라고하며, n번의단위회전행렬연산이완료되면그결과값에 scale-factor를 43 전자공학회지 _ 451

50 신동엽, 박종선 곱해야최종 CORDIC 연산결과를얻을수있다. scalefactor는다음식과같이나타난다. n이커짐에따라 scale-factor는약 에수렴하는값이된다. CORDIC 연산이 Shift와덧셈으로이루어진반복연산으로단위연산이차지하는면적이매우작기때문에 < 그림 3(b)> scale-factor의곱셈역시 Shift와덧셈으로이루어진상수곱셈 (constant multiplication) 연산임에도 CORDIC의면적측면에서큰부분을차지한다. 특히, 정확한연산결과를얻기위해보다정밀한 scale-factor를곱해줘야하는경우면적오버헤드는더욱증가할수있다. 2. CORDIC 기본동작 CORDIC 연산은크게 Vectoring 모드와 Rotation 모드의두가지동작모드로나눌수있다. 먼저 Vectoring 모드에서 CORDIC 모듈은 2차원벡터를입력으로하여벡 (a) (b) < 그림 5> CORDIC 알고리즘의일반화터의크기와각도 (x축과이루는각도 ) 를출력으로한다 < 그림 4(a)>. < 그림 4(b)> 와같이 Vectoring 모드동작은 y좌표의값을 0으로만드는방향으로단위회전행렬연산이이루어진다. 따라서 Vectoring 모드에서는 y 좌표의부호에따라단위회전행렬의덧셈 ( 뺄셈 ) 부호가달라진다. Rotation 모드에서 CORDIC 모듈은 2차원벡터와목표회전각도를입력으로받아목표회전각도만큼회전된 2차원벡터를출력으로한다 < 그림 4(a)>. Rotation 모드동작은목표회전각도와단위회전각도누적의차를 0으로만드는방향으로단위회전행렬연산이이루어진다. 즉, Rotation 모드에서는 < 그림 3(b)> 의 z의부호에따라단위회전행렬의덧셈 ( 뺄셈 ) 부호가달라진다. 어플리케이션에따라 Vectoring 모드의출력회전각도가 Rotation 모드의입력으로들어가는경우가있다. 이경우 < 그림 5(a)> 와같이단위회전행렬연산의부호를 inverting을거쳐즉각적으로공유함으로써 Vectoring 및 Rotation 모드 CORDIC 모두에서 < 그림 3(b)> 의 z 연산관련부분을생략할수있게된다. 이렇게 Vectoring 모드 CORDIC( 각도생성 ) 과 Rotation 모드 CORDIC( 생성된각도로회전 ) 으로구성된 CORDIC을 Compact CORDIC이라고하며저면적구현에용이하다. 생성된각도를받아사용하는 Rotation 모드 CORDIC의수가늘어날수록효율이증대된다. (c) < 그림 4> (a) Vectoring 모드와 Rotation 모드의입 / 출력 (b) Vectoring 모드와 Rotation 모드동작 (c) Vectoring 모드 CORDIC과 Rotation 모드 CORDIC의회전방향공유 3. CORDIC 알고리즘의일반화지금까지살펴본 CORDIC 동작은 Circular rotation 에기반하고있다. 앞서살펴본 CORDIC의개념은 452 _ The Magazine of the IEIE 44

51 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계기술및그응용 Hyperbolic rotation과 Linear rotation으로도확장될수있다. 이때연산식은다음과같다. 구하는구조때문에항상긴레이턴시를가질수밖에없다. 높은처리량 (throughput) 을갖기위해서는 CORDIC 의레이턴시를줄이는기술에대한연구도필요하다. 기존살펴본 CORDIC 연산에 m이라는변수가추가되어 m 값에따라 Circular(m=1)/ Hyperbolic(m=-1)/ Linear(m=0) 모드로동작한다. 각모드에서 Rotation 및 Vectoring 모드동작의연산식은 < 그림 5> 와같다. Hyperbolic 모드를이용하여쌍곡선함수의연산이가능하고, Linear 모드를이용하여나눗셈연산이가능하다. 이와같이기본연산에큰변화가없는간단한확장을통해보다다양한신호및영상프로세서의저면적, 저전력구현에적용이가능해진다. Ⅲ. CORDIC 설계기술 CORDIC은자체적으로저면적, 저전력의설계기술이지만 scale-factor와연산의반복에따른레이턴시등보다효율적인구현을위해고려해야할부분들이있다. scale-factor의경우단위연산하드웨어와별도의하드웨어가추가적으로필요하기때문에낮은복잡도의구현이필요하다. 또한, 단위연산의반복으로최종결과값을 1. 저복잡도 scale-factor 연산앞서살펴본바와같이 CORDIC 반복 (iteratin) 횟수는정확도와트레이드-오프관계를갖는다. 따라서어플리케이션의적용환경에따라정확도조절이가능한 CORDIC이반드시필요하다. 이러한멀티-모드 (multimode) CORDIC에서 scale-factor 곱셈연산은다양한반복횟수에대해각각다른 scale-factor를곱해주어야하기때문에이에따른하드웨어오버헤드가발생한다. 여기서 scale-factor의구현은상수곱셈과추가적인배럴시프터 (barrel shifter) 로구성된다. 기존 CORDIC에서 scale-factor의연산은 CORDIC의단위회전행렬연산반복이끝난후, 마지막에수행되었다 < 그림 6(a)>. 이경우, 단위회전행렬과 scale-factor 연산에두개의다른배럴시프터가사용되어면적의오버헤드가크다. 기존마지막에한번수행되던 scalefactor 연산을단위회전행렬과같이여러반복횟수로나누어단위회전행렬연산안에포함시키면, 단위회전행렬과 scale-factor 연산에필요한배럴시프터를공유하는것이가능하다 < 그림 6(b)>. < 그림 7> 과같이개선된 scale-factor 연산을포함하는저면적 CORDIC 구조를사용하면기존구조대비 42% 의면적감소효과를얻을수있다 [4]. (a) (b) < 그림 6> (a) 기존 CORDIC 동작 (b) 개선된 CORDIC 동작 < 그림 7> 개선된 scale-factor 연산의 CORDIC 구조. 45 전자공학회지 _ 453

52 신동엽, 박종선 2. Lookahead CORDIC Lookahead CORDIC [5] 은 CORDIC의반복횟수로인한레이턴시증가를줄이는기술이다. 현재 i 번째반복을수행중이라면, i+1~i+3 번째반복의모든회전방향 (Rotation direction) 에해당하는연산을전부수행하여, i 번째연산수행이끝난후에결정되는회전방향으로부터 MUX 선택만연속적으로수행하면 4번의 CORDIC 반복이완료된다 < 그림 8>. Lookahead CORDIC을사용하면기존 4번의반복을순차적으로수행하는 CORDIC에비하여 34.83% 의레이턴시감소 ( 속도증가 ) 효과를갖는다. Ⅳ. CORDIC 의응용예 서론에서살펴본것과같이사물인터넷용저전력, 저면적 IoE 기기구현을위해서는효율적인전용프로세서개발이필요하다. 이러한전용프로세서에는대표적으로통신프로세서, 영상프로세서등이있다. 여기에서는통신프로세서에서널리사용되는 QR 분해와영상프로세서에서사용되는 Discrete Cosine Transform(DCT) 의설계에 CORDIC을적용한사례를살펴보기로한다. 3. 다차원 CORDIC 다차원 CORDIC은기존 2차원좌표계에서수행되던 CORDIC 연산을 3차원, 4차원으로확장한 CORDIC 이다. 다차원 CORDIC을사용하면기존에연속적인 2 차원 CORDIC 연산이필요한부분을한번의다차원 CORDIC 연산으로대체할수있다. 다차원 CORDIC 구조는 Householder 알고리즘을이용한구조와 Givens rotation 알고리즘을이용한구조의두가지로나뉜다. Householder transformation을이용한구조와 Givens rotation을이용한구조모두 Shift와덧셈연산으로이루어진다는것은같으나, 2의지수승연산의복잡도에차이점이있다. 3차원 CORDIC의경우, < 그림 9(a)> 와같이 Householder를이용한 CORDIC의복잡도가더낮고 ( 저면적 ) 4차원 CORDIC의경우 < 그림 9(b)> 와같이 Givens rotation을이용한 CORDIC의복잡도가더낮다. 1. CORDIC 기반 QR 분해 (QR Decomposition) QR 분해는입력행렬을직교행렬 (Orthogonal matrix) Q와상삼각행렬 (Upper triangular matrix) R 로분해하는기술이다. 최근통신표준으로채택되고있는 Multiple-Input Multiple-Output(MIMO) 표준에서 QR 분해가 MIMO 신호탐지기의전처리과정으로널리쓰이고있다. QR 분해는많은 cos 및 sin 함수를포함하는행렬곱셈연산을필요로하기때문에, 최근의 QR 분해연구들은대부분 CORDIC을기반으로저면적, 저전력 QR 분해를수행하고있다. (a) < 그림 8> Sign-select lookahead CORDIC 구조 (b) < 그림 9> (a) 3-D Householder CORDIC 구조 (b) 4-D Givens rotation CORDIC 구조 454 _ The Magazine of the IEIE 46

53 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계기술및그응용 CORDIC 기반의기존 QR 분해순서는 < 그림 10(a)> 와같다. 4x4 채널행렬을입력으로했을때, 행렬의대각선방향성분을 pivot으로하여그림의숫자순서대로 Vectoring 모드 CORDIC 연산을수행한다. 하나의 column에대한 Vectoring 연산이모두수행되면, 그후에 Vectoring 연산이수행된 column 오른쪽의모든 column들에대해 Vectoring 연산에서출력된회전각도로 Rotation 모드 CORDIC 연산을수행한다. Vectoring 모드와 Rotation 모드의 CORDIC 연산은앞서소개한 Compact CORDIC을이용하여동시에연산가능하나, Vectoring 모드 CORDIC 연산은연속적으로수행되기때문에전체 QR 분해의레이턴시가매우증가하게된다. 이러한문제를개선하기위하여동일한 QR 분해결과를얻으면서 Vectoring 모드 CORDIC 연산을병렬연산하는구조가제안되었다 [7]. [7] 의 QR 분해는기존 QR 분해순서와달리한 column의 Vectoring 모드 CORDIC 연산에대하여 2개씩짝지어병렬연산이가능하기때문에연산의레이턴시가줄어든다 ( 처리속도향상 ). 이러한 QR 분해기법은 4x4 행렬뿐아니라 6x6, 8x8 등다양 한행렬에적용가능한데 6x6 행렬에적용할경우기존 QR 분해기법대비 73% 의처리속도향상을얻는다. QR 분해순서의조정을통한개선뿐아니라, 다차원 CORDIC을사용하여처리속도를향상시키는것도가능하다 [9]. 다차원 CORDIC을 < 그림 10(a)> 의 4x4 행렬의 QR 분해에적용했을때 1, 2, 3, 4번째의 2차원 Vectoring 모드 CORDIC 연산이 1번의 4차원 CORDIC 연산으로대체된다. 또한, [9] 는 2차원, 3차원, 4차원 CORDIC을구현하는여러알고리즘 (Householder, < 그림 11> 다차원 CORDIC 기반 QRD 구조 (a) (a) (b) < 그림 10> CORDIC 기반 (a) 기존 QR 분해순서 (b) 개선된 QR 분해순서 (b) < 그림 12> (a) CORDIC 기반 1-D DCT 구조 (b) DCT의주파수대역에따라다른반복횟수를갖는Lookahead CORDIC 구조 47 전자공학회지 _ 455

54 신동엽, 박종선 Givens rotation) 중에가장낮은복잡도로구현가능한알고리즘으로각차원의 CORDIC을구현하고이를 QR 분해에적용하여, 높은처리속도와동시에낮은면적의구현이가능하게하였다. 결과적으로면적당처리속도가기존대비 47% 증가한다. 2. CORDIC 기반 Discrete Cosine Transform JPEC, H.264 등많은영상처리표준에서채택되고있는 Discrete Cosine Transform(DCT) 은비디오및영상압축에서가장많은연산량을갖고있다. DCT의연산량을줄이기위해서는 cos 및 sin 곱셈연산을줄이는것이중요하기때문에이를 CORDIC으로대체하여저면적, 저전력구현을가능하게하는기술이널리사용되고있다. < 그림 12(a)> 는 cos 및 sin 곱셈연산을 CORDIC 연산으로대체한 1-D DCT 구조를나타낸다. 그림에서보듯이, CORDIC으로대체되지않은덧셈과뺄셈연산량보다 cos 및 sin 곱셈연산량이훨씬많기때문에이를 CORDIC으로대체하는효과가매우크게나타난다. 또한 DCT는낮은주파수영역의연산이높은주파수영역의연산보다최종영상결과에미치는영향이큰특성을갖는다. 따라서 CORDIC 기반 DCT 구조를사용할경우, 낮은주파수영역에대해서는많은 CORDIC 반복횟수를사용하고높은주파수영역에대해서는적은 CORDIC 반복횟수를사용하여추가적인전력소모의절감이가능하다 < 그림 12(b)> [10]. < 그림 13> 에서 CORDIC 연산의반복횟수를줄이는 MODE 1, 2로바뀌면서전력소모와 PSNR이감소하는것을확인할수있다. 그림아래의 Lena 이미지에서보듯이눈으로확인했을때화질의감소가매우미미한것을알수있다. < 그림 13> CORDIC 기반 DCT의결과 Ⅴ. 앞으로의연구방향 이논문은 CORDIC의기본적인구조및동작과 CORDIC을이용한저면적, 저전력설계기술에대해소개하였다. 또한통신프로세서의 QR 분해와영상프로세서의 DCT에적용된사례도간단히살펴보았다. 이전까지의연구가삼각함수등의복잡한함수연산을 CORDIC으로대체하여저면적, 저전력구현을가능하게하되, CORDIC 구현에있어서정확도-레이턴시트레이드-오프를이용하고, scale-factor 연산오버헤드등을줄이는방향으로진행되어왔듯이앞으로의연구방향도어플리케이션의특성을파악하여적절한레이턴시및면적을갖는 CORDIC 기반어플리케이션을구현하는방향으로진행될것으로예상한다. 또한, 사물인터넷시대를맞아저전력, 저면적 IoE 기기의개발이어느때보다중요해진만큼앞으로는최소한의정확도를만족하면서낮은레이턴시및면적, 전력소모를갖는방향으로연구가진행될것이다. 그리고 CORDIC 연산으로효율적인대체가가능한기술들은대부분행렬연산과관계되어있는데, 이에따라효율적인다차원 CORDIC 연산에대한연구또한계속될것으로보인다. 적용가능한어플리케이션측면에서는최근각광받고있는머신러닝전용프로세서의구현에활용하는방향에대한연구도크게주목받을것으로예상된다. 참고문헌 [1] J. E. Volder, The CORDIC trigonometric computing technique, IRE Trans. Electron. Computers, vol. EC-8, pp , Sept [2] J. S. Walther, A unified algorithm for elementary functions, in Proc. 38th Spring Joint Computer Conf., Atlantic City, NJ, 1971, pp [3] P. K. Meher, et al, 50 Years of CORDIC: Algorithms, Architectures, and Applications, Circuit and systems I, Regular papers, IEEE Transactions on, Vol. 56, Issue 9, Sept. 2009, pp _ The Magazine of the IEIE 48

55 CORDIC 을이용한저면적, 저전력신호처리 VLSI 설계기술및그응용 [4] Gihoon Jung, et al., "A compact multi-mode CORDIC with Global-Shifting-Sum (GSS) method," Circuits and Systems (APCCAS), 2016 IEEE Asia Pacific Conference on, Oct [5] Min-Woo Lee, et al., Sign-Select Lookahead CORDIC based High-Speed QR Decomposition Architecture for MIMO Receiver Applications, Journal of Semiconductor Technology and Science, vol. 11, no. 1, pp. 6-14, Mar [6] Shen-Fu Hsiao, Jean-Marc Delosme, The CORDIC Householder Algorithm, in Computer Arithmatic, Proceedings10th IEEE Symposium on, Jun 1991 [7] Min-Woo Lee, Ji-Hwan Yoon, and Jongsun Park, High- Speed Tournament Givens Rotation-based QR Decomposition Architecture for MIMO Receiver, in Circuit and Systems (ISCAS), 2012 IEEE international Symposium on, May [8] Kurniawan. I. H., Ji-Hwan Yoon, and Jongsun Park, Multidimensional Householder based high-speed QR decomposition architecture for MIMO receivers, in Circuit and Systems (ISCAS), 2013 IEEE international Symposium on, May [9] J.-H. Yoon, D. Shin, and J. Park, A low-complexity composite QR decomposition architecture for MIMO detector, in Proc. IEEE ISCAS, Jun. 2014, pp [10] Min-Woo Lee, Ji-Hwan Yoon, and Jongsun Park, Reconfigurable cordic-based low-power dct architecture based on data priority, IEEE Trans. Very Large Scale Integr. (VLSI) Syst, vol. 22, no. 5, pp , May 신동엽 2013 년 8 월고려대학교전기전자전파공학학사 2013 년 9 월 ~ 현재고려대학교전기전자공학석박통합과정 < 관심분야 > 통신용디지털신호처리프로세서, 머신러닝프로세서 박종선 1998 년 2 월고려대학교전자공학과학사 2000 년 8 월 Purdue Univ., School of ECE 석사 2005 년 12 월 Purdue Univ., School of ECE 박사 2005 년 5 월 ~2008 년 2 월미국 Marvell Semiconductors, Staff Design Engineer 2008 년 ~ 현재고려대학교전기전자공학부조교수, 부교수, 교수 < 관심분야 > 신뢰성강한저전력통신및디지털신호처리프로세서설계, 저전력임베디드메모리, 초저전력 sub-threshold 회로설계 49 전자공학회지 _ 457

56 특집 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 뉴럴네트워크의뉴로모픽 하드웨어와소프트웨어 공동설계 Ⅰ. 서론 신태환인천대학교정재용 * 인천대학교 1. 연구배경최근글로벌 IT 기업들이다양한분야에딥러닝 [1] 기술을적용하고있다. 실제로딥러닝기술은음성인식기능을갖춘개인비서소프트웨어애플 (Apple) 의시리 (Siri), 얼굴인식기능을갖춘페이스북 (Facebook) 의딥페이스 (DeepFace) 에적용되었고, 구글 (Google) 은자율주행자동차와음성및영상인식등다양한분야에딥러닝기술을적용하였다. 그중구글딥마인드 (DeepMind) 가개발한인공지능바둑프로그램알파고 (AlphaGo) 는인간과의대결에서승리하면서딥러닝에대한관심을증폭시켰다. 딥러닝 (deep learning) 이란인공지능의한분야로인간의두뇌에뉴런의정보처리과정을모사하여모델링한심층신경망 (deep neural network) 을기반으로한기술이다. 딥러닝은주어진학습데이터로부터특정목적을달성하기위해스스로프로그램을생성하는기계학습알고리즘의하나로컴퓨터비전, 음성인식, 자연어처리등의다양한분야에접목시킬수있다 [2-5]. 딥러닝은 1980년대에처음등장하였으나학습시간이오래걸리는문제와과적합 (overfitting) 문제로인해실용화되지못하였다 [6]. 또한딥러닝을구현하려면다량의학습데이터와복잡한행렬연산을처리할수있는능력이필요하지만그당시인터넷기술이발전하지않아학습데이터를수집하기가어려웠으며하드웨어의연산처리능력이낮아발전이정체되고있었다. 하지만최근빅데이터의출현으로딥러닝학습에필요한막대 * 교신저자 ( jychung@inu.ac.kr) 458 _ The Magazine of the IEIE 50

57 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 한양의데이터확보가가능해졌고 GPGPU(General- Purpose computing on GPU) 의등장으로하드웨어의연산능력이크게증가함에따라딥러닝구현에필요한수많은연산량을빠르게처리할수있게되었다. 그리고 2012년 1000개의이미지를분류하는 ILSVRC (ImageNet Large Scale Visual Recognition Competition) 대회에서컨볼루션신경망 (convolutional neural network) 을이용한 AlexNet [2] 이우수한성적을거두면서딥러닝을다시금각광받게하였다. 딥러닝기술은기존에수십년간개발된알고리즘보다뛰어난성능을보여주고있으나아직실용화단계에서해결해야할문제들이존재한다. 기존컴퓨팅방식에서거대한심층신경망의방대한연산량을처리하기위해고성능의프로세서를이용한다. 그러나기존컴퓨팅방식은방대한연산량을처리하는과정에서프로세서의연산성능을저하시키고많은에너지를소비하는구조를갖는다. 이에따라대규모심층신경망을모바일장치에서효율적으로구현할수있는설계방안을모색하기위해다음과같은연구를진행하게되었다. 2. 연구목적현재대부분의컴퓨팅방식은프로세서와메모리가분리되어있는폰노이만구조를갖는다. 이러한컴퓨팅방식에서심층신경망을구현하려면방대한연산량을처리할수있는고성능프로세서와다량의가중치파라미터 (weight parameter) 들을저장할수있는대용량메모리가요구된다. 일반적으로방대한연산량을처리하기위해처리요소 (processing element) 의수를늘리는방법을이용한다. 하지만메모리의낮은대역폭 (bandwidth) 이버스에병목현상 (bottleneck) 을발생시켜처리요소의수를제한한다. 또한연산에필요한가중치파라미터를불러오기위해빈번히메모리에접근하는데, 메모리에접근하기위한입출력연산은간단한수치연산에비해상대적으로높은전력을소모시킨다 [7]. 대부분의모바일장치들은이러한컴퓨팅방식을기반으로한다. 기존연구에서 [8] 의경우, 텐서분해로계수 (rank) 를선택하고커널의차원분해를통해파라미터와연산량을 감소시키는압축방식을제안하였고, 모바일 GPU 를사용하여실험을진행하였다. [9] 의경우, 컨볼루션레이어와완전연결레이어의파라미터를각레이어의특성에맞게양자화하여연산의가속과파라미터의압축을동시에수행하는방식을제안하였고, CPU 를사용하여실험을진행하였다. 각논문은폰노이만컴퓨팅방식에서신경망을동작시킬때, 동작속도를향상시키고전력소모를낮추기위해각논문에서제안하는압축방식을적용하여연산량과파라미터의수를감소시키는방안에대한연구가진행되었다. 그러나신경망의규모가커지면연산량과파라미터의수도같이증가하게되고, 근본적으로폰노이만구조에서신경망을구현할때발생하는구조적문제점, 병목현상으로인한연산성능저하와빈번한메모리접근으로발생하는높은전력소모는개선되지않는다. 본논문에서는대규모컨볼루션뉴럴네트워크를모바일장치에서효율적으로구현하기위한시스템을제안한다. 컨볼루션뉴럴네트워크는크게컨볼루션레이어와완전연결레이어로구성되어있다. 컨볼루션레이어는가중치의수가적고연산량이많으나, 완전연결레이어는가중치의수가많고연산량이적은특징이있다. 컨볼루션뉴럴네트워크는뉴로모픽아키텍쳐를갖는뉴로모픽하드웨어로연산을가속시킬수있다. 그러나완전연결레이어를뉴로모픽하드웨어로구현할때, 완전연결레이어의특성상노드와노드를연결하는커넥션 (connection) 의수가많아뉴로모픽하드웨어로구현하기에는어려움이따른다. 따라서, 연산량이많은컨볼루션레이어는뉴로모픽아키텍쳐를갖는뉴로모픽하드웨어로연산을가속시켜컨볼루션레이어의연산을처리하고, 파라미터의수가많은완전연결레이어는파라미터의수를감소시킬수있는압축기술을적용하여기존폰노이만방식을이용하는시스템을제안한다. 압축기술을적용하여파라미터의수를감소시키면, 연산량과메모리의접근횟수가줄어들어연산속도는증가시킬수있고, 전력소모는감소시킬수있다. 51 전자공학회지 _ 459

58 신태환, 정재용 3. 논문의구성본논문은총 5장으로구성되어있으며다음과같은순서로진행된다. 2장에서는이미지분류에뛰어난성능을가진컨볼루션뉴럴네트워크의구조와각레이어에대해간단히살펴본다. 또한인간의뇌를모방한뉴로모픽아키텍쳐와뉴럴네트워크의가중치파라미터의수를감소시킬수있는압축기술을살펴본다. 3장에서는컨볼루션뉴럴네트워크를모바일장치에서구현하기위한시스템설계방법을제안한다. 또한인공신경망파라미터수최적화알고리즘과압축된완전연결레이어를빠르게처리하기위해사용된희소행렬벡터곱에대해서술하였다. 4장에서는본논문에서제안한시스템설계방법을검증하였으며압축기술이적용된완전연결레이어와적용되지않은완전연결레이어의연산속도를다양한프로세서로비교하는실험을진행하였다. 5장에서는결론을도출하였다. Ⅱ. 컨볼루션뉴럴네트워크 1. 컨볼루션뉴럴네트워크의구조현재 AlexNet, ZFNet, GoogLeNet, VGGNet 등의다양한컨볼루션뉴럴네트워크모델이존재한다. 본논문에서는위와같은다양한신경망중 AlexNet 모델을이용하여이미지분류를구현하였기때문에이모델에대해살펴보고자한다. < 그림 1> 은컨볼루션뉴럴네트워크를기반으로한 AlexNet 모델이다. AlexNet 은크게 5개의컨볼루션레이어 (convolutional layers) 와 3개의완전연결레이어 (fully-connected layers) 로구성되어있으며특정컨볼루션레이어사이에서브샘플링 (sub-sampling) 을위한맥스-풀링레이어 (max pooling layer) 가존재한다. AlexNet 에 224 x 224 사이즈의이미지가입력되면컨볼루션레이어, 맥스풀링레이어, 완전연결레이어를거쳐총 1000개의결과가확률값으로출력되는구조를갖는다. 컨볼루션레이어는입력된이미지로부터컨볼루션 (convolution) 연산을수행하여특징 (feature) 맵을추출해낸다. 컨볼루션연산은일정한크기와학습된가중치파라미터를가진커널이입력된이미지를이동 (stride) 하면서픽셀값에대응하는파라미터들과곱하고더하는과정을반복하여특징을추출해낸다. 이과정을간단한예로 < 그림 2> 에나타내었다. 컨볼루션레이어에는여러종류의커널이사용되고다양한특징들이추출된다. 입력된이미지에대해컨볼루션연산이수행되고나면활성함수 (activation function) 의입력으로들어가게된다. 활성함수는뉴런에입력된값에따라뉴런이출력하는신호의세기를결정하는함수이다. AlexNet 은학습속도를높이기위해 ReLU(Rectified Linear Unit) 활성함수를사용하였다. ReLU 를사용하기전활성함수로사용되던시그모이드 (sigmoid) 함수는기울기하강 (gradient descent) 을기반으로한학습도중역전파 (backpropagation) 과정에서레이어가깊어질수록가중치가 0으로수렴하는문제 (gradient vanishing problem) 가발생한다 [10]. 이러한문제를해결하기위해 ReLU 가등장하였으며식 (1) 과같이표현될수있다. < 그림 1> < 그림 2> 460 _ The Magazine of the IEIE 52

59 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 (1) ReLU 는입력가 0 미만일경우 0 이출력되고, 0 이상일경우입력를출력으로취한다. 또한 ReLU 는시그모이드함수에비해연산이간단하기때문에학습속도가빨라현재심층신경망의활성함수로많이사용되는추세이다. 입력된이미지로부터컨볼루션연산이수행된후활성함수를거치고나면특징맵 (feature map) 이추출되고, 특징맵은서브샘플링을위해풀링레이어를거치게된다. 서브샘플링은입력된이미지의차원을축소시키는기법으로, 주로특징맵의이미지크기를줄여연산량을감소시키기위한용도로사용된다. 일반적으로풀링레이어는평균풀링과 (average pooling) 와맥스풀링 (max pooling) 을많이사용한다. 평균풀링은커널에대응되는 < 그림 3> 픽셀들의평균을취하여이미지의크기를줄이는방법이고, 맥스풀링은커널에대응되는픽셀들중가장큰값을선택하여이미지의크기줄이는방법이다. 맥스풀링과평균풀링의간단한예를 < 그림 3> 에나타내었다. 풀링은일반적으로 2 x 2 커널을사용하여겹치는부분없이 2 칸씩이동 (stride) 하기때문에이미지의가로와세로의크기가반으로줄어들게된다. 하지만 AlexNet 의경우 3 x 3 커널을사용하여 2칸씩이동하는오버래핑풀링 (overlapping pooling) 을이용하였고과적합 (overfitting) 을감소시켜예측성능을향상시켰다. 컨볼루션레이어와풀링레이어를거치고나면완전연결레이어의연산을수행하게된다. 완전연결레이어는입력레이어의각각의노드들이다음레이어의모든노드들과서로연결되어있는구조로, < 그림 4> 에간단한완전연결레이어의구조를나타내었다. 완전연결레이어는컨볼루션레이어에서추출한특징을입력으로받아 1000개객체로분류하는연산을수행하고, 30개의완전연결레이어를거치고나면최종적으로 1000 개의객체들이확률값으로출력된다. 이중가장높은확률값을갖는객체는컨볼루션뉴럴네트워크가입력된이미지에대해최종적으로판단한객체를의미한다. 컨볼루션레이어와완전연결레이어의파라미터개수와연산량은서로상반된특성을가지고있다. 컨볼루션레이어는파라미터개수가적지만연산량이많고, 완전연결레이어는파라미터개수가적지만연산량이많은특성이있다. 컨볼루션레이어의총가중치파라미터개수는약 2.3 M 개이고연산량은약 666 M FLOPs 이며, 완 < 표 1> < 그림 4> 53 전자공학회지 _ 461

60 신태환, 정재용 전연결레이어의총가중치파라미터개수는약 58.6 M 개이고연산량은약 58.6 M FLOPs 이다. 컨볼루션뉴럴네트워크의각레이어마다요구되는연산량과가중치파라미터의수를 < 표 1> 에나타내었다 [8]. 2. 뉴로모픽아키텍쳐현재일반적으로널리사용되는폰노이만컴퓨팅방식은프로세서와메모리가분리되어있고, 버스를통해데이터를주고받는구조를갖는다. 이러한구조는뉴럴네트워크모델을구현하기에, 속도와전력소모측면에서비효율적인구조를가지고있다. 폰노이만구조에서신경망모델을구현하기위해주로처리요소 (processing element) 의수를늘려수많은연산량을처리하고, 가중치파라미터들을외부메모리 (off-chip memory) 에저장하는방법을이용한다. 하지만외부메모리의느린대역폭 (bandwidth) 이버스에병목현상을발생시켜처리요소의수를제한하고, 이로인해같은시간동안처리할수있는프로세서의처리량도제한되어신경망을구현하는속도가느려지게된다. 또한신경망구현에필요한데이터들을불러오기위해빈번히외부메모리에접근해야하지만 < 표 2> 에서보는것과같이외부메모리에접근하는것은상대적으로높은전력이소모된다 [7,11]. 이러한폰노이만컴퓨팅방식이갖는한계를뉴로모픽 (neuro-morphic) 아키텍쳐로극복할수있다 [12]. 뉴로모픽아키텍쳐는저전력으로동작하는인간의뇌구조를모방하여개발된구조로인간의생물학적뉴런의정보처리과정을모델링하여하드웨어로구현한기술이다. 이러한뉴로모픽아키텍쳐를이용한다면컨볼루션레 < 표 2> < 그림 5> 이어의연산을가속시킬수있다. 컨볼루션뉴럴네트워크는컨볼루션레이어와완전연결레이어로나누어질수있다. 컨볼루션레이어는입력데이터로부터다양한특징을추출하기위해서로다른커널 (kernel) 들이존재하고, 각각의커널들은컨볼루션연산을반복적으로수행하기때문에완전연결레이어보다상대적으로가중치파라미터의수는적지만연산량이많은특징이있다. 이와같은특징으로본논문에서는컨볼루션레이어의가중치파라미터들을온칩 (on-chip) 에저장하여연산을가속시킬수있는뉴로모픽하드웨어를이용하였다 [13,14]. 이뉴로모픽하드웨어는뉴런 (neuron) 과시냅스 (synapse) 로구성되어있으며 < 그림 5> 과같이뉴럴네트워크의유닛 (unit) 과커넥션 (connection) 을뉴로모픽하드웨어의뉴런과시냅스로일대일대응시켜실행하게된다. 뉴런과시냅스는병렬로구성되어있으며데이터저장과연산을담당하고, 뉴로모픽하드웨어에입력된데이터는입력부터출력까지연속적으로시냅스연산을거치게된다. 뉴로모픽아키텍쳐는폰노이만구조와달리온칩혹은오프칩메모리를사용하지않고모든가중치파라미터를레지스터에저장하기때문에병목현상이발생하지않으며저전력으로동작할수있다. 3. 뉴럴네트워크압축기술컨볼루션뉴럴네트워크모델은상당히많은가중치파라미터를가지고있다. 하지만대부분의가중치파라미터들이필요이상으로많기때문에압축기술을이용하면가중치파라미터의수를감소시킬수있다 [15]. 가중치파라미터를감소시키면연산량을현저히줄일수있고, 메 462 _ The Magazine of the IEIE 54

61 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 모리사용량도감소시킬수있는장점이있다 [16]. 본논문에서는가중치파라미터의수를감소시키기위해행렬분해 (matrix factorization) [17] 와 pruning [18] 을이용하였다. 가중치행렬의가중치들은필요이상으로많아선형적으로압축될수있다. 행렬을압축하기위한가장일반적인방법은 SVD(Singular Value Decomposition) 를통한낮은계수근사법 (low-rank approximation) 이다. m x n 크기를갖는가중치행렬 W 에 SVD를적용하면식 (2) 와같이표현될수있다. (2) 식 (2) 에서 U 는크기를갖는직교행렬, S 는크기를갖는대각행렬, V T 는크기를갖는유니터리행렬이고, S 는 U 또는 V T 와결합될수있으며이는식 (3) 과같이표현될수있다. (3) 기존레이어는두개의레이어로표현될수있고행렬분해가적용된레이어는기존레이어와같은출력을갖는다. 기존레이어에행렬분해를적용하면 < 그림 6> 과같은형태를갖는다. 가중치행렬은행렬분해를통해분해될수있으나, 가중치행렬의대부분이 Full rank 를갖기때문에파라미터의수는감소시킬수없다. 따라서, 본논문에서는가장큰값개를특이값으로취하고나머지는 0으로만드는계수근사법을이용하였다 [14]. 가중치파라미터를감소시킬수있는방법중또다른하나는 pruning 이다. pruning 은작은값을갖는파라미터를 0으로취하는방법이다. 일반적으로작은파라미터값을 0으로만들기위해임계값을정하고임계값이하의값들을모두 0으로만든다. 그러나이러한방법은파 라미터의수나예측성능을보장하지않는다. 따라서, 본논문에서는목표파라미터개수를입력으로받아파라미터를정렬하고, 가장큰값부터목표파라미터개수까지파라미터값들을유지하면서나머지값들을 0으로만드는방법을이용하였다 [14]. 위두가지의압축기술을결합하여학습된네트워크에적용한다면파라미터의감소율을증가시킬수있다. 따라서, 본논문에서는 [14] 에서제안한행렬분해와 pruning 이결합된압축기술을완전연결레이어에적용하여파라미터의수를감소시켰다. Ⅲ. 뉴럴네트워크하드웨어 / 소프트웨어공동설계 컨볼루션뉴럴네트워크는크게컨볼루션레이어와완전연결레이어로구분되며두레이어의특성은서로상이하다. 컨볼루션레이어는연산량이많으나파라미터의개수는적고, 완전연결레이어는연산량이적으나파라미터개수는많다. 이러한특성을활용하여본논문에서는 < 그림 7> 과같은시스템을제안한다. 컨볼루션레이어의경우파라미터의수가적기때문에뉴로모픽하드웨어로구현하기가용이하고, 뉴로모픽하드웨어로구현시다량의처리요소 (processing element) 를활용하여저전력으로높은처리량을제공받아연산을가속시킬수있다. 스마트폰의어플리케이션프로세서 (Application Processor, AP) 는대부분멀티코어 CPU 와모바일 GPU 를이미포함하고있으므로, 이러한기존의인프라 < 그림 6> < 그림 7> 55 전자공학회지 _ 463

62 신태환, 정재용 를활용하여완전연결레이어를소프트웨어로구현할수있다. 완전연결레이어의경우파라미터수가많기때문에고밀도의 DRAM 에저장하는것이바람직하며, 요구되는연산량은적어멀티코어 CPU 또는모바일 GPU 로도처리할수있다. 본논문의기여는다음과같이요약된다. 1) FPGA 를활용하여제안하는시스템의프로토타입을실제로구현하고예상되는문제점을파악하여해결책을제시한다. 2) 최신인공신경망의완전연결레이어를다양한방식의소프트웨어로구현하고최적화하며, 다양한임베디드컴퓨팅플랫폼에서실행할때의성능을평가한다. 1. 하드웨어시스템구현 < 그림 8> 에제안한시스템을실제로구현한구성도를나타내었고, Xilinx 사의 FPGA VIRTEX-7 (XC7V2000T), SoC 플랫폼 Zynq-7000(XC7Z020) 을이용하였다. Zynq-7000 은 PS(Processing System) 영역과 PL(Programmable Logic) 영역으로나누어져있고 PS 영역은 Dual-core ARM Cortex-A9, PL 영역은 Artix-7 과동급의 FPGA로구성되어있다. VIRTEX-7 은뉴로모픽아키텍쳐를갖는뉴로모픽하드웨어가구현되어있고컨볼루션레이어의연산을수행한다. ZYNQ 의 PS 영역은딥러닝프레임워크 Caffe [19] 를이용하여압축기술이적용된완전연결레이어의연산을수행한다. ZYNQ 의 PL 영역은 Xilinx 사에서제공하는 IP를이용하여 DMA(Direct Memory Access) 와 FIFO(First In First Out) 모듈로설계하였다. DMA 는데이터를고속으로전송시키기위해사용되었으며, FIFO 는모듈간동작속도차이로인해데이터를임시로저장 < 그림 8> 시키기위해사용되었다. DMA 는 CPU의개입없이메모리에직접접근하여데이터를주변장치들로전송하는기능을수행한다. DMA 가 CPU 대신데이터전송에관여하는동안 CPU는다른작업을수행할수있어효율성이높아지는장점이있다. < 그림 8> 에구현된시스템의동작과정은다음과같다. Caffe 에서테스트이미지를메모리에저장하면 DMA 는메모리에접근하여 Scatter/Gather 모드로이미지데이터를가져온다. Scatter/Gather 모드는메모리상에흩어져있는데이터들을모아하나의연속적인데이터처럼사용할수있게해주는기능으로 DMA 는메모리상에흩어진데이터들을모아한번에전송시킬수있다. DMA 는컨볼루션레이어의연산을수행하기위해이미지데이터를스트림 (stream) 형식으로 FPGA 에전송시킨다. 전송된이미지데이터는모듈간동작속도의차이로인해 FIFO 모듈에저장되고저장된이미지데이터는 RGB 정규화 (normalization) 채널로분리되어정규화과정을거쳐컨볼루션레이어모듈의입력으로들어가게된다. 이미지데이터는컨볼루션레이어의연산을거치고두개의 FIFO 모듈을통해 DMA 로전송되고전송된데이터는다시 Scatter/Gather 모드로메모리에저장시킨다. Caffe 는메모리에저장된데이터를완전연결레이어의입력벡터로받아행렬연산을수행하고최종적으로테스트이미지에대해컨볼루션뉴럴네트워크가인지한결과가출력된다. 2. 완전연결레이어소프트웨어구현완전연결레이어의경우많은수의가중치파라미터가존재하나필요이상으로많기때문에선형적으로압축이가능하다. 많은수의파라미터는신경망의구조적유연성을높여예측성능을높이기위한기술의하나로, 훈련단계에서는반드시요구되나추론단계에서는불필요하다. 효율적인추론을위하여파라미터수를감소시키는연구가많이진행되고있으며 [20,21], 가중치행렬의낮은계수근사법 (low-rank approximation), pruning 방법또는두방법의결합을통해정확도를유지하면서파라미터수를수십배이상감소시키는것이가능하다. 기존의파라 464 _ The Magazine of the IEIE 56

63 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 미터수를감소시키기위한연구는주로레이어별로수행되었으며, 레이어별로목표파라미터수가주어졌을때정확도감소량을최소화하는방식으로최적화가진행되어왔다. 그러나전체뉴럴네트워크의목표파라미터수가정해졌을때, 각레이어별로목표파라미터를어떻게설정해야하는지에대한연구는아직진행되지않았다. 본논문에서는그리디알고리즘을통해레이어별최적파라미터수를찾고자한다. 또한압축기술이적용된완전연결레이어에 CSR 방식을적용하여연산속도를향상시키고자한다. < 표 3> 가. 그리디알고리즘기반레이어별파라미터수최적화본논문에서해결하고자하는최적화문제를수식으로나타내면식 (4) 와같다. (4) 식 (4) 에서는번째레이어의파라미터수, 은레이어의개수, 는목표파라미터개수, P 는네트워크의예측성능을의미하며, 목표파라미터개수 ( ) 가정해졌을때예측성능이최대가되는각레이어의파라미터개수 ( ) 를의미한다. 함수 P 는각최적화변수에대해 Convex 함수가아니므로최적화패키지를이용하여최적해를구하기가어렵다. 따라서본논문에서는이문제를위해그리디알고리즘을사용하고자한다. 그리디알고리즘은주로최적의값이나경로를구할때사용되는알고리즘이다. 다양한경우의수가주어졌을때최적이라고판단되는경우를선택해나가는방식으로진행하여최종적인값에도달하게된다. 전체적인경로에대해알수없어상황에따라최적의경우를판단하기때문에알고리즘을통해얻은값이항상최적의값은아니다. 하지만전체적인경로를알수없어도근사적인값의도달할수있고속도가빠르다는장점이있다. 2.3 절에서서술한압축기술을각각의완전연결레이어에적용하면가중치파라미터개수에따라예측성능이달라진다. 이때정해진파라미터개수에따라각각의레이어마다최대의예측성능을갖는파라미터개수를얻기위해그리디알고리즘을사용하였다. 그리디알고리 즘을이용한최적의파라미터개수를얻는방법은다음과같으며 Algorithm1에요약하였다. 우선목표파라미터개수를정하고, 기존의완전연결레이어별파라미터개수를기점으로진행한다. 본논문에서는목표파라미터의개수를 1M 으로정하였고, AlexNet 의완전연결레이어별파라미터개수 (37M, 16M, 4M) 를기점으로하였다. 목표파라미터개수가입력으로들어오면첫번째레이어부터정해진파라미터개수 ( 스텝사이즈 ) 만큼감소시켜예측성능을측정하고두번째, 세번째레이어도이와같은방식으로예측성능을측정한다 (line 1). 측정된예측성능중가장작은변화량을갖는파라미터의개수를모두합하고입력된목표파라미터개수와비교한다 (line 2, 3, 4). 목표파라미터개수와비교했을때목표파라미터보다크다면가장작은변화량을갖는레이어별파라미터개수를기점으로다시위과정을반복하고, 작거나같다면각레이어별파라미터개수와예측성능을반환한다. 그리디알고리즘을사용하면최적의파라미터개수와근사한값을도출해낼수있다. 하지만모든경우에대해항상최적은아니기때문에이부분대해유념해야한다. 나. 희소행렬벡터곱구현 pruning 방식을이용하여레이어별파라미터수를감소시킬경우각레이어의가중치행렬은밀집행렬에서희소행렬로변화한다. 일반적인딥러닝프레임워크의경우에는가중치행렬이밀집행렬인경우를가정하고구현 57 전자공학회지 _ 465

64 신태환, 정재용 되어있어, 희소행렬의이점을제대로활용하지못한다. 따라서본논문에서는희소행렬벡터곱을구현하여파라미터감소기술이실제성능향상으로이어지도록한다. 희소행렬은메모리공간을효율적으로사용하기위해주로 COO(Coordinate) 방식과 CSR(Compressed Sparse Row) 방식을사용한다. 이러한방식들은희소행렬의 0이많을수록기존에차지하던메모리사용량을현저히감소시킬수있으며행렬의연산시간도단축시킬수있는장점이있다. COO 방식은 0이아닌값들의행과열의인덱스 (index) 와 0이아닌값들을 3개의배열로저장하는방식으로행의인덱스배열, 열의인덱스배열, 00 이아닌값의배열로나타낼수있다. CSR 방식도 0이아닌값들을저장하는방식은 COO 방식과같으나행의인덱스를저장하고있는배열을압축한다는점에서차이가있다. CSR 방식은 COO 방식에서행의인덱스배열을압축하였기때문에행의인덱스에접근이빠르고행렬-벡터곱 (matrix-vector multiplication) 연산을수행하는데이점이있다. 본논문에서는밀집행렬의성질을띠는완전연결레이어의가중치행렬에압축기술을적용하였고희소행렬의형태로변형된가중치행렬을 CSR 방식을이용하여완전연결레이어의연산속도를향상시켰다. Ⅲ. 실험및결과 본장에서는압축기술이연산성능에미치는영향을확인하기위해, CPU와 GPU로기존완전연결레이어와압축된완전연결레이어의연산속도를측정하여비교하였다. 또한제안한시스템에서압축기술을적용한완전연결레이어가뉴로모픽하드웨어의처리속도에맞추어 CPU 나 GPU 로처리가능한지확인하기위해프레임비율 (frame rate) 로비교분석하였다. 실험환경은다음과같다. 완전연결레이어의연산은가중치행렬과입력벡터의행렬-벡터곱연산을수행하므로선형대수라이브러리인 ublas [22] 와 ViennaCL [23] 을이용하였으며, 언어는 C++ < 표 4> < 표 5> 로구현하였다. 실험에사용된임베디드플랫폼 ODROID (ODROID-XU4) 는 mobile CPU (Quad-core ARM Cortex-A15, Quad-core ARM Cortex-A7) 와 mobile GPU(Mali-T628) 로구성되어있다. 실험에사용된완전연결레이어는 AlexNet 의완전연결레이어이고, 압축기술이적용된완전연결레이어는 절에그리디알고리즘을통해얻어낸파라미터의개수를이용하였다. < 표 4> 에완전연결레이어의예측성능이 50 ~ 47% 일때, 레이어별최적의가중치파라미터개수를나타내었다. < 표 4> 에서그리디알고리즘을사용한경우와사용하지않은경우를비교하였을때, 예측성능에따른레이어별최적의파라미터개수가같음을확인하였다. 또한완전연결레이어에압축기술을적용했을때, 가중치파라미터의감소량을 < 표 5> 에서확인할수있다. < 그림 9> 과 4.2 는본논문에서제안한시스템을기반으로컨볼루션뉴럴네트워크를구현한실험환경이다. < 그림 9> 은이미지가카메라를통해실시간으로컨볼루션뉴럴네트워크에입력되면, 컨볼루션뉴럴네트워크는입력된이미지로부터연산을수행하여결과를출력하고, 이중가장높은확률값을갖는 5개의객체가모니터에출력되는실험이다. < 그림 10> 는 < 그림 9> 에컨볼 466 _ The Magazine of the IEIE 58

65 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 < 그림 9> < 그림 10> 에서컨볼루션레이어를구현한뉴로모픽하드웨어는초당 97 ~ 1152 장의이미지를처리할수있는연산성능을가지고있는데압축된완전연결레이어가뉴로모픽하드웨어의연산성능에맞추어처리할수있는지에대해아래의실험을통해확인한다. < 표 6> 은검증시스템을위해구현된코드로부터각단계별소요시간을측정한결과이다. < 표 6> 에서 Step 1 은 FPGA로이미지데이터를전송하기위해프로토콜에따라데이터를변환시키는시간, Step 2 는데이터를 FPGA로전송하고다시수신하는시간, Step 3 는 FPGA 에서수신한데이터를완전연결레이어에서연산가능하도록데이터를처리하는시간, Step 4 는완전연결레이어의입력노드에데이터를저장하는시간, Step 5 는완전연결레이어의연산시간을의미한다. < 그림 10> 루션뉴럴네트워크부분을확대한그림으로, ZedBoard ( 위 ) 는카메라에서입력받은이미지를커넥터를통해 VIRTEX-7( 아래 ) 로전송한다. 뉴로모픽하드웨어로구현된 VIRTEX-7 은이미지에대해컨볼루션레이어의연산을수행하고, 연산된결과를다시 ZedBoard 로전송한다. ZedBoard 는입력받은결과에대해완전연결레이어의연산을수행하고, 이중가장높은값을갖는 5개의객체를모니터에출력한다. < 그림 11> < 그림 12> < 표 6> < 그림 13> 59 전자공학회지 _ 467

66 신태환, 정재용 압축된레이어의연산속도는압축되지않은레이어의연산속도보다약 2 ~ 3배빠른연산속도를가지며, Step 5 를통해확인할수있다. Step 1 은다른단계에비해높은소요시간을갖는다. Step 1 은 1 Frame 을처리할때소요되는시간중 15 ~ 30 % 비중을차지하며이는전체적인동작속도를저하시키는원인이된다. 따라서입력이미지의포맷을미리변환시키면 Step 1 의데이터변환과정을수행할필요가없으므로전체적인동작속도를향상시킬수있다. < 그림 11> ~ < 그림 13> 에 Baseline FC layer 는압축기술이적용되지않은완전연결레이어이고 50 ~ 47% FC layer 는압축기술이적용된완전연결레이어이다. Baseline FC layer 는밀집행렬, 50 ~ 47 % FC layer 는희소행렬의성질을띠고있으며 Baseline FC layer 와 50 % FC layer 는유사한예측성능을갖는다. 또한 dense 연산은일반적인행렬연산 (2D-array) 방식을의미하며, sparse 연산은 CSR(compressed sparse row) 방식을의미한다. < 그림 11> 에싱글코어 (1 Core) 로측정한 dense 와 sparse 의연산속도를나타내었고, CPU 는 ARM-A15 를사용하였다. dense 연산의경우 Baseline FC layer 와 50 % FC layer 는비슷한연산속도를갖지만 sparse 연산의경우약 2배빠른연산속도를보였고, 압축률이증가할수록약 6배빠른연산속도를보였다. < 그림 12> 에 GPU 로측정한 dense 와 sparse 의연산속도를나타내었고, GPU 는 Mali T-628 사용하였다. CPU 1 Core 로측정한 dense 연산의시간을기준으로잡았을때 < 그림 12> 과같은연산속도가측정되 < 표 7> 었다. 50% FC layer의 sparse 연산을보면압축기술이적용되었음에도불구하고속도가감소하였다. 그이유는 GPU 에서희소행렬의연산을할경우스레드와스레드블록의동기화및작업량균등분배, 메모리접근, 데이터재사용등을고려하여희소행렬연산을수행해야하기때문이다 [24, 25]. < 그림 13> 에싱글코어, 멀티코어, GPU 로측정한연산속도를프레임비율 (frame rate) 로나타내었다. Uncompressed FC Layer 는 Baseline FC layer 가사용되었고 dense 연산을수행하였으며 compressed FC Layer 는 50 % FC layer 사용되었고 sparse 연산을수행하였다. < 표 7> 에실험에사용된프로세서들의 dense 와 sparse 의연산속도를프레임비율로나타내었다. 전체적인실험을통해다음과같은결과를도출하였다. CPU 의경우압축기술을완전연결레이어에적용하였을때 dense 연산에비해약 2 ~ 5 배정도연산속도가향상되었다. 그러나프레임비율은최대 18 FPS 를갖기때문에뉴로모픽하드웨어의 FPS( 최소 97 FPS) 에못미치므로 CPU로완전연결레이어를구현하기에는부족한성능을보였다. GPU의경우 CPU와반대로 dense 연산에비해약 2배정도연산속도가감소하였으나이는희소행렬에최적화된연산기법을사용하면더욱빠른연산속도를얻을수있다. 그러나 CPU와비교했을때약 600 배빠른연산속도를갖고, 프레임비율은약 2000 FPS 이므로 GPU로완전연결레이어를구현하기에는충분한성능을보였다. Ⅳ. 결론 본논문에서는폰노이만컴퓨팅방식을기반으로한모바일장치에서대규모심층신경망을빠르고효율적으로구현하기위한시스템을제안하였다. 이에따라연산량이많고파라미터의수가적은컨볼루션레이어는뉴로모픽아키텍처를갖는뉴로모픽하드웨어를이용하고, 연산량이적고파라미터의수가많은완전연결레이어는압축기술을적용하여소프트웨어를이용하는시스템을구현하였다. 468 _ The Magazine of the IEIE 60

67 뉴럴네트워크의뉴로모픽하드웨어와소프트웨어공동설계 이시스템을검증하기위해 FPGA와 Programmable SoC 플랫폼을활용하여실제로구현하였다. 이러한시스템에서압축기술이적용된완전연결레이어를소프트웨어로구현하였을때뉴로모픽하드웨어의동작속도에맞추어처리가능한지확인하기위해 CPU와 GPU로성능을평가하였다. CPU 의경우, 압축기술이적용되었을때연산속도는향상되었으나뉴로모픽하드웨어와같이동작하기에는부족한성능을보였고 GPU 의경우, 충분히동작할수있는성능을보였다. 그러나 GPU 는압축기술이적용되었을때연산속도가감소하였는데이는희소행렬에최적화된연산기법을사용하면더욱빠른연산속도를얻을수있다. 정해진파라미터개수에따라최대예측성능을갖는파라미터개수를구하기위해그리디알고리즘을이용하였고, 알고리즘을사용한결과와사용하지않는결과를비교하였을때같은파라미터개수를갖는것으로확인하였다. 또한압축기술이적용된완전연결레이어를구현할때 CSR 방식을이용하였고실험을통해연산속도가향상되는것을확인할수있었다. 압축되지않은완전연결레이어와압축된완전연결레이어를다양한방법으로평가하였을때압축기술이연산성능에미치는영향을실험결과를통해확인할수있었다. 본논문에서제안한시스템은컨볼루션뉴럴네트워크가이용될수있는모든분야에접목가능하며, 신경망의동작효율성을높일수있다. 다수의이미지중특정이미지만분류하는이미지분류작업, 이미지내에사람의얼굴혹은특정객체를인식하는객체인식작업, 자연어혹은영상데이터를인지하여시각장애인에게음성으로정보를제공하는웨어러블디바이스등다양한분야에적용될수있다. 또한이시스템은모바일장치에만국한되지않고운전자보조시스템 (ADAS) 혹은자율주행자동차, 의료영상을분석하는의료분야, 무인매장시스템, 폐쇄회로 TV(CCTV) 기반의지능형감시시스템등의분야에도접목될수있다. 이논문은인천대학교 2015년도자체연구비지원에의하여연구되었음참고문헌 [1] LeCun, Y., Bengio, Y., & Hinton, G., (2015), Deep learning, Nature, 521(7553), pp [2] Krizhevsky, A., Sutskever, I., and Hinton, G. E., 2012, Imagenet classification with deep convolutional neural networks, Advances in neural information processing systems, pp [3] Alsharif, O., and Pineau, J., 2013, End-to-end text recognition with hybrid HMM maxout models, arxiv preprint arxiv: [4] Sharif R. A., Azizpour, H., Sullivan, J., and Carlsson, S., 2014, CNN features off-the-shelf: an astounding baseline for recognition, Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, pp [5] Taigman, Y., Yang, M., Ranzato, M. A., and Wolf, L., 2014, Deepface: Closing the gap to human-level performance in face verification, Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pp [6] LeCun, Y., Boser, B., Denker, J. S., Henderson, D., Howard, R. E., Hubbard, W., and Jackel, L. D, (1989), Backpropagation applied to handwritten zip code recognition, Neural computation, 1(4), pp [7] Schemmel, J., Briiderle, D., Griibl, A., Hock, M., Meier, K., and Millner, S., 2010, A wafer-scale neuromorphic hardware system for large-scale neural modeling, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp [8] Kim, Y. D., Park, E. H., Yoo, S.J., Choi, T. L., Yang, L., and Shin, D. J., 2015, Compression of deep convolutional neural networks for fast and low power mobile applications, arxiv preprint arxiv: [9] Wu, J., Leng, C., Wang, Y., Hu, Q., and Cheng, J., 2015, Quantized Convolutional Neural Networks for Mobile Devices, 61 전자공학회지 _ 469

68 신태환, 정재용 arxiv preprint arxiv: [10] Maas, A. L., Hannun, A. Y., and Ng, A. Y., 2013, Rectifier nonlinearities improve neural network acoustic models, In Proc. ICML, 30(1). [11] Han, S., Liu, X., Mao, H., Pu, J., Pedram, A., Horowitz, M. A., and Dally, W. J., 2016, EIE: efficient inference engine on compressed deep neural network, arxiv preprint arxiv: [12] Merolla, P. A. et al., (2014), A million spiking-neuron integrated circuit with a scalable communication network and interface, Science, 345(6197), pp [13] Chung, J. Y., and Shin, T. H., and Kang, Y. S., 2015, INsight: A Neuromorphic Computing System for Evaluation of Large Neural Networks, arxiv preprint arxiv: [14] Chung, J. Y., and Shin, T. H., 2016, Simplifying deep neural networks for neuromorphic architectures, Proceedings of the 53rd Annual Design Automation Conference. ACM, pp.126. [15] Misha, D., Babak, S., Laurent, D., Marcaurelio, R., and Nando, D. F., 2013, Predicting parameters in deep learning, In Advances in Neural Information Processing Systems, pp [16] Wenlin, C., James, T. W., Stephen, T., Kilian, Q. W., Yixin, C., 2015, Compressing convolutional neural networks, arxiv preprint arxiv: [17] Emily, L. D., Wojciech, Z., Joan, B., Yann, L., and Rob, F., 2014, Exploiting linear structure within convolutional networks for ecient evaluation, In Advances in Neural Information Processing Systems, pp [18] Han, S., Jepp, P., John, T., and William, D., 2015, Learning both weights and connections for efficient neural network, Advances in Neural Information Processing Systems, pp [19] [20] Tara, N. S., Brian, K., Vikas, S., Ebru, A., and Bhuvana, R., 2013, Low-rank matrix factorization for deep neural network training with high-dimensional output targets, In Proc. IEEE Int. Conf. on Acoustics, Speech and Signal Processing, pp [21] Jian, X., Jinyu, L., and Yifan G., 2013, Restructuring of deep neural network acoustic models with singular value decomposition, In INTERSPEECH, pp [22] [23] [24] 조용연, 배덕호, 김상욱, (2013), GPU 기반의외적을통한 희소행렬곱셈방안, 정보과학회논문지, 19(10), pp [25] Baskaran, M. M., and Bordawekar, R., (2008), Optimizing Sparse Matrix-Vector Multiplication on GPUs, IBM Research Report, RC24704 (W ). 신태환 2015 년인천대학교전자공학과학사 2017 년인천대학교전자공학과석사 < 관심분야 > SoC, FPGA, Deep Neural Network 정재용 2006 년 8 월연세대학교전자공학과학사졸업 2008 년 12 월텍사스오스틴대학교전자공학과석사 2011 년 5 월텍사스오스틴대학교전자공학과박사 2011 년 6 월 ~2013 년 8 월 Synopsys Inc, USA 2013 년 8 월 ~ 현재인천대학교조교수 < 관심분야 > 뉴로모픽시스템, 딥러닝, VLSI CAD 470 _ The Magazine of the IEIE 62

69 The Institute of Electronics and Information Engineers 논문지논문목차 전자공학회논문지제 54 권 6 호발행 통신분야 [ 통신 ] 5G 이동통신을위한 W-OFDM 변조방식의 MIMO 시스템에서동기화시스템설계와성능평가 안창영, 유흥균 홀로그래픽데이터저장장치에서트렐리스인코딩을이용한 4- 레벨 4/6 변조부호 정성권, 이재진 홀로그래픽저장장치를위한수정된로그 - 유사도비를이용한 LDPC-LDPC 곱부호 정성권, 이재진 반도체분야 [ 반도체재료및부품 ] 상용 PCB 공정을이용한 RF MEMS 스위치와 DC-DC 컨버터의이종통합에관한연구 장연수, 양우진, 전국진 [SoC 설계 ] 아날로그 - 디지털전달함수평균화기법기반의 Cyclic ADC 의디지털보정기법 엄지용 [ 융합컴퓨팅 ] 교통약자를위한시간복잡도를반영한자동배차알고리즘 박형수, 김훈기, 김영성 컴퓨터분야 63 전자공학회지 _ 471

70 논문지논문목차 신호처리분야 [ 영상신호처리 ] Multi-Access Memory System(MAMS) 의속도향상을위한아키텍처설계 고경식, 김재희, 이스라엘, 박종원 Salt & Pepper 잡음환경에서인접픽셀기반잡음완화필터 성치혁, 신수용 웨이블릿부밴드의상호정보량을이용한세일리언시검출 문상환, 이호상, 문용호, 엄일규 컨볼루셔널인코더 - 디코더네트워크를이용한터널에서의균열검출 한복규, 양현석, 이종민, 문영식 [ 제어계측 ] Zynq 기반 baremetal 멀티프로세싱에의한초음파 TOF 측정 강문호 [ 의용전자및생체공학 ] 랜덤포레스트를이용한심전도기반생체인증 김정균, 이강복, 홍상기 시스템및제어분야 [ 자동차전자기술 ] 자율주행형다관절차량용이더넷 TCN 의최적토폴로지에대한실험적검증 김정태, 황환웅, 이강원, 윤지훈 [ 신호처리및시스템 ] 수동형패치를이용한전기차소음저감 김현수, 김병일, 한완옥 산업전자분야 LED 디스플레이시스템을위한최적의플리커프리디스플레이제어장치구현 이주연, 김대순, 이종하 Cadence Sensing 방식의전기자전거를위한정밀토크제어컨트롤러설계 이주연, 김대순, 이종하 [ 통신및초고주파 ] 통계적수중음향채널에서트렐리스부호화된 4-CPFSK 의성능분석 강희훈 472 _ The Magazine of the IEIE 64

71 The Institute of Electronics and Information Engineers 제목 : 모든산업을흔드는 AI 혁명 의전모 - 인공지능최전선저자 : 일경컴퓨터펴낸곳 : 일경 BP 사출판일 : 2016 년 10 월 29 일크기, 페이지수 : 28cm, 249p 서평 제 3 차붐 이라고도하는인공지능 (AI). 그열기는멈출줄을모른다 년전반의최대의뉴스는, 바둑 AI 의위업일것이다. 세계톱클래스의프로기사와의대전에서 4 승 1 패로압승한것이다. 그역할은, 제 3 차 AI 붐을견인한다는 딥러닝 (Deep learning) 이다. 3,000 만건의대국데이터를학습시키고, 바둑 AI 간의대국을통해그대국데이터를학습시켜가는작업을통해바둑 AI 를단련시킨결과, 프로기사를능가하는실격을갖추게되었다. 업종을불문하고, 기업과조직에서의응용사례도착실히늘어가고있다. 카메라로촬영한화상을이용한내점객의동선분석이나불심자의검지, 자연스럽게문의에대응하는등, 화상인식과예측분석, 자연언어처리를시작으로 AI 기술의채용이활발화하고있다. 한편, AI 의보급에따라, 전체적인그림을그리기가어려워지고있다. 아마존이나페이스북, 구글, IBM, 마이크로소프트등의미국대형 IT 기업이중심이되어, 새로운기술과제품 서비스를잇달아제공하고있고, 응용범위도넓어지고있기때문이다. 본서는 AI 를둘러싼상황을가능한총망라하여, 구체적으로알기쉽게전하는것을목적으로하고있다. 기업과조직에서 AI 의응용을담당하거나, 앞으로담당하려고하는사람을주대상으로한다. 제 1 장, 달아오르는 AI 혁명 은본서의총론에해당한다. AI 의응용에관한현상과과제, 바둑 AI 등에대해거론한다. 제 2 장, 구글, IBM, 마이크로소프트가경쟁에서는, 미국의주요 IT 기업의 AI 에관한동향을기술적인배경과함께살펴본다. 제 3 장, 기계학습의전모에서는, 기계학습과심층학습의기본부터실천까지의유의점을보고간다. 제 4 장, 다방면으로확대되고있는 AI 의응용은사례편이다. 빌딩의자동제어에서자율주행, 암진단까지다양한분야에걸친실태를소개한다. AI 에게직업을뺏길것이라는논의도나오고있다. 제 5 장, AI 가인간을대신하는날에서는, Singularity( 기술적특이점 ) 에관한화제를볼수있다. 제 6 장, 구미에대항한일본의 AI 전략에서는인재전략을시작으로일본기업의 AI 전략을본다. 제 7 장, AI 를지원하는양자컴퓨터에서는, 장래의 AI 기반이될가능성이높은새로운양자컴퓨터의동향을해설한다. 부록의용어집은 AI 에관한키워드를소개한다. 서평작성자 : 이원규, 해동일본기술정보센터총괄처장 65 전자공학회지 _ 473

72 The Magazine of the IEIE 정보교차로 국 내외에서개최되는각종학술대회 / 전시회를소개합니다. 게재를희망하시는분은간략한학술대회정보를이메일로보내주시면게재하겠습니다. 연락처 : edit@theieie.org 2017 년 7 월 일자학술대회명개최장소홈페이지 / 연락처 International Conference on Computer, Communications and Electronics (Comptelix) Manipal University Jaipur, India irisworld.org/wp/international-conference/ comptelix-2017/ th International Conference on Computing, Communication and Networking Technologies (ICCCNT) IIT Delhi, India 8icccnt.org th Mediterranean Conference on Control and Automation (MED) University of Malta, Valletta, Malta th International Conference on Computational Science and Its Applications (ICCSA) University of Trieste, Trieste, Italy th IEEE International Conference on Control & Automation (ICCA) Hotel Metropol, Ohrid, Macedonia IEEE International Conference on Advanced Intelligent Mechatronics (AIM) Sheraton München Arabellapark, München, Germany IEEE 17th International Conference on Advanced Learning Technologies (ICALT) Politehnica University of Timisoara, Romania Ninth International Conference on Ubiquitous and Future Networks (ICUFN) Milan, Italy th International Workshop on Active-Matrix Flatpanel Displays and Devices (AM-FPD) Ryukoku University Avanti Kyoto Hall, Kyoto, Japan IEEE 41st Annual Computer Software and Applications Conference (COMPSAC) Politecnico di Torino, Torino, Italy compsac th International Conference on Telecommunications and Signal Processing (TSP) TBD, Barcelona, Spain tsp.vutbr.cz/ International Conference on Intelligent Computing, Instrumentation and Control Technologies (ICICICT) Msgr.Varikkattu Hall, Kannur District, India vjaei.com/icicict2017/ IEEE EUROCON th International Conference on Smart Technologies Metropol Lake Resort, Ohrid, Macedonia eurocon2017.org/ IEEE International Conference on Fuzzy Systems (FUZZ- IEEE) Royal-Continental Hotel, Italy Prognostics and System Health Management Conference (PHM-Harbin) Sun Island Garden Hotel, Harbin, China Joint Conference of the European Frequency and Time Forum and IEEE International Frequency Control Symposium ((EFTF/IFC) MICROPOLIS, BESANÇON, France eftf-ifcs2017.org USNC-URSI Radio Science Meeting (Joint with AP-S Symposium) Manchester Grand Hyatt San Diego, CA, USA 2017apsursi.org/default.asp IEEE International Conference on Multimedia and Expo (ICME) TBD, Hong Kong, Hong Kong th International Conference on Information Fusion (Fusion) Wyndham Grand Xi'an South, China _ The Magazine of the IEIE 66

73 일자학술대회명개최장소홈페이지 / 연락처 th International Conference on Advanced Robotics (ICAR) Hong Kong Science and Technology Park, Hong Kong SAR, China th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC) International Convention Center, Jeju, Korea (South) embc.embs.org/2017/ th International Joint Conference on Computer Science and Software Engineering (JCSSE) Twin Lotus Hotel, Nakhon Si Thammarat, Thailand jcsse2017.wu.ac.th nd International Multidisciplinary Conference on Computer and Energy Science (SpliTech) University of Split, FESB, Split, Croatia splitech2017.fesb.hr IEEE Region 10 Symposium (TENSYMP) Le Méridien, Cochin, India th International Conference on Cybercrime and Computer Forensic (ICCCF) Sofitel Gold Coast Broadbeach, Gold Coast, Australia IEEE Power & Energy Society General Meeting Sheraton Chicago Hotel & Towers, Chicago, IL, USA IEEE Nuclear & Space Radiation Effects Conference (NSREC 2017) Marriott New Orleans, LA, USA th International Conference on Human System Interactions (HSI) University of Ulsan, Korea (South) hsi2017.islab-ulsan.net/ International Conference on Information Society (i-society) Clayton Hotel Ballsbridge, Dublin, Ireland International Conference on Rehabilitation Robotics (ICORR) Queen Elizabeth II Centre, London, United Kingdom IEEE Radiation Effects Data Workshop (IEEE) (in conjunction with NSREC 2017) New Orleans Marriott Hotel, LA, USA International Conference on Computing Methodologies and Communication (ICCMC) Surya Engineering College, Erode, India iccm2017.com/index.html IEEE International Conference on Information and Automation (ICIA) Sheraton Grand Macao Hotel, Cotai Central, China 2017.ieee-icia.org Computing Conference United Kingdom International Conference on Networks & Advances in Computational Technologies (NetACT) Mar Baselios College of Engineering and Technology, Thiruvananthapuram, India netact17.in/ th IEEE International Conference on Electronics Information and Emergency Communication (ICEIEC) Hengqin Campus hotel,universidade de Macau, Macau, China IEEE Conference on Computer Vision and Pattern Recognition (CVPR) Hawaii Convention Center, HI, USA cvpr2017.thecvf.com/ IGARSS IEEE International Geoscience and Remote Sensing Symposium Fort Worth Convention Center, TX, USA th International Conference on Quality in Research (QiR) : International Symposium on Electrical and Computer Engineering The Westin Resort, Nusa Dua, Indonesia qir.eng.ui.ac.id/ International Symposium on Industrial Engineering and Operations Management (IEOM) The University of the West of England, Bristol, United Kingdom IEEE 15th International Conference on Industrial Informatics (INDIN) University of Applied Sciences Emden/Leer, Germany jwerma@technik-emden.de IEEE 17th International Conference on Nanotechnology (IEEE-NANO) Pittsburgh Marriott City Center, PA, USA IEEE/OES Acoustics in Underwater Geosciences Symposium (RIO Acoustics) CPRM, Rio de Janeiro- RJ, Brazil Eighth International Conference on Swarm Intelligence (ICSI) The JR Hakata City, Fukuoka, Japan th International Conference on Informatics in Control, Automation and Robotics (ICINCO) Madrid, Spain th International Conference on Computer Communication and Networks (ICCCN) Marriott Vancouver Pinnacle Downtown, BC, Canada icccn.org/icccn17 67 전자공학회지 _ 475

74 일자학술대회명개최장소홈페이지 / 연락처 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM) TBA, Sydney, Australia asonam.cpsc.ucalgary.ca/2017/ Conference on Lasers and Electro-Optics Pacific Rim (CLEO-PR) Sands Expo and Convention Centre, Singapore 년 8 월 IEEE Trustcom/BigDataSE/ICESS University of Technology Sydney Australia stprp-activity.com/trustcom International Applied Computational Electromagnetics Society Symposium - China (ACES) National University of Singapore Suzhou Research Institute, China China_2017/ IEEE International Conference on Smart Technologies and Management for Computing, Communication, Controls, Energy and Materials (ICSTM) Vel Tech Rangarajan Dr. Sagunthala R & D Institute of Science and Technology, Chennai, India IEEE 3rd International Conference on Engineering Technologies and Social Sciences (ICETSS) AIT Conference Centre (AIT CC) Bangkok, Thailand icetss.etssm.org IEEE Transportation Electrification Conference and Expo, Asia-Pacific (ITEC Asia-Pacific) Hotel Victories, Harbin, China itec-ap2017.com IEEE Magnetic Recording Conference (TMRC) Tsukuba International Congress Center, Tsukuba, Japan IEEE International Symposium on Electromagnetic Compatibility & Signal/Power Integrity (EMCSI) Gaylord National Harbor, MD, USA IEEE SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI Jerry (zeyu) Gao, San Jose, CA, USA ieee-smartworld.org/2017/smartworld/ IEEE International Conference on Mechatronics and Automation (ICMA) Sunport Takamatsu, Japan 2017.ieee-icma.org/ IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS) Tufts University Conference Center, USA th International Conference on Agro-Geoinformatics George Mason University, USA IEEE International Conference on Signal Processing, Informatics, Communication and Energy Systems (SPICES) TKM College of Engineering Kollam, India IEEE International Symposium on Technology and Society (ISTAS) TBD, Sydney, Australia ieeessit.org/files/2017/02/istas2017.pdf nd International Conference on Telecommunication and Networks (TEL-NET) Amity University Uttar Pradersh, India International conference on Microelectronic Devices, Circuits and Systems (ICMDCS) VIT University, India IEEE Electric Ship Technologies Symposium (ESTS) TBD, USA ests17.mit.edu/ IEEE XXIV International Conference on Electronics, Electrical Engineering and Computing (INTERCON) Universidad Nacional de San Antonio Abad del Cusco, Peru th International Conference on Electronic Packaging Technology (ICEPT) TBD, Harbin, China International Conference on Computing, Communication, Control and Automation (ICCUBEA) PIMPRI CHINCHWAD COLLEGE OF ENGINEERING, PUNE, India International conference on Smart grids, Power and Advanced Control Engineering ( ICSPACE) Global Academy of Technology, India XXXIInd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS) Palais de Congres, QC, Canada th IEEE Conference on Automation Science and Engineering (CASE 2017) Wyndham Grand Xi'an South, China IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM) University of Victoria, Canada kinli@uvic.ca th Congress of the International Commission for Optics (ICO) Keio Plaza Hotel, Tokyo, Japan ico24.org 476 _ The Magazine of the IEIE 68

75 일자학술대회명개최장소홈페이지 / 연락처 th International Conference On Advances In Computing & Communications (ICACC) Rajagiri School of Engineering & Technology, India th International Conference on Computer Science and Education (ICCSE) University of Houston Hilton Hotel, USA International Conference on Electrical Engineering and Computer Science (ICECOS) Hotel Aryaduta, Palembang, Indonesia icecos.unsri.ac.id/ IEEE 14th International Conference on Group IV Photonics (GFP) Grand Hyatt Berlin, Germany nd International Conference on Inventive Computation Technologies (ICICT) RVS Technical Campus, Coimbatore, India icoict.com/index.html SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC) Majestic Hotel, Brazil nd International Conference on Advanced Robotics and Mechatronics (ICARM) TBA, Heifei, China th International Congress on Engineered Materials Platforms for Novel Wave Phenomena (Metamaterials) Campus Saint-Charles, Aix-marseille université, France congress2017.metamorphose-vi.org/ IEEE Conference on Control Technology and Applications (CCTA) Mauna Lani Bay Hotel and Bungalows, USA ccta2017.ieeecss.org/ nd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz) Cancun International Convention Center, Mexico th European Signal Processing Conference (EUSIPCO) Kos International Convention Centre (KICC), Kos, Greece th Symposium on Integrated Circuits and Systems Design (SBCCI) Gran Marquise Hotel, Fortaleza, Brazil chiponthesands.lesc.ufc.br/sbcci-2017/ home nd International Conference on Methods and Models in Automation and Robotics (MMAR) Amber Baltic Hotel, Poland th IEEE International Symposium on Robot and Human Interactive Communication (RO-MAN) Pestana Palace Hotel, Portugal 년 9 월 IEEE 7th International Conference on Consumer Electronics - Berlin (ICCE-Berlin) Berlin Messe, Germany Federated Conference on Computer Science and Information Systems (FedCSIS) Czech Technical University in Prague, Czech Republic IEEE 16th International Symposium On Electrets (ISE) KU Leuven, Physics department, Belgium iks32.fys.kuleuven.be/indico/event/ International Symposium on Electromagnetic Compatibility - EMC EUROPE Congress Center, Angers, France th International Conference on Field Programmable Logic and Applications (FPL) Culture and Convention Center Het Pand, Belgium IEEE 25th International Requirements Engineering Conference (RE) Universidade Nova de Lisboa, Portugal re2017.org IEEE International Conference on Cluster Computing (CLUSTER) Sheraton Waikiki, HI, USA cluster17.github.io IEEE International Ultrasonics Symposium (IUS) Omni Shoreham Hotel, Washington, DC, USA th IEEE International System-on-Chip Conference (SOCC) Novotel München City, Munich, Germany ieee-socc.org International conference on Advanced Robotics and Intelligent Systems (ARIS) Taipei Nangang Exhibition Center, Taipei, Taiwan aris2017.nchu.edu.tw th International Symposium on Linear Drives for Industry Applications (LDIA) Osaka Institute of Technology Umeda Campus, Japan Intelligent Systems Conference (IntelliSys) America Square Conference Centre, London, United Kingdom 69 전자공학회지 _ 477

76 일자학술대회명개최장소홈페이지 / 연락처 IEEE AUTOTESTCON Renaissance Hotel, IL, USA th Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD) Westin La Paloma, AZ, USA th European Conference on Power Electronics and Applications (EPE'17 ECCE Europe) The Gromada Hotel, Warsaw, Poland International Conference on Electromagnetics in Advanced Applications (ICEAA) Hotel Leon D'Oro, Verona, Italy ESSCIRC rd IEEE European Solid State Circuits Conference (ESSCIRC) KU Leuven Campus Social Science, Belgium nd IEEE International Conference on Emerging Technologies and Factory Automation Grand Resort, Limassol, Cyprus etfa2017.org IEEE 17th International Conference on Ubiquitous Wireless Broadband (ICUWB) Hospedería Fonseca, Salamanca, Spain IEEE High Performance Extreme Computing Conference (HPCE) Westin Hotel, MA, USA International Test Conference in Asia (ITC-Asia) Nangang Exhibition Hall, Taipei, Taiwan windy.ee.nthu.edu.tw/itc-asia International Conference on Advances in Computing, Communications and Informatics (ICACCI) Manipal Institute of Technology (MIT), India icacci-conference.org/2017/ th FITCE Congress AEIT, MADRID, Spain fitce.org/ IEEE/AIAA 36th Digital Avionics Systems Conference (DASC) Hilton St. Petersburg Bayfront, FL, USA International Artificial Intelligence and Data Processing Symposium (IDAP) IDAP'17 Location, Malatya, Turkey idap.inonu.edu.tr/ th International Conference on Computational Intelligence and Communication Networks (CICN) Cyprus, GIRNE, Cyprus and IEEE Petroleum and Chemical Industry Technical Conference (PCIC 2017) Telus Convention Centre/Hyatt Regency Hotel, Canada ewh.ieee.org/soc/ias/pcic/ OCEANS 2017 Dena ina Convention Center, AK, USA ACM/IEEE 20th International Conference on Model Driven Engineering Languages and Systems (MODELS) Sheraton Austin Hotel at the Capitol, Austin, TX, USA IEEE International Conference on Software Maintenance and Evolution (ICSME) Crowne Plaza Shanghai Fudan, Shanghai, China conferences.computer.org/icsm/ North American Power Symposium (NAPS) IEEE International Conference on Image Processing (ICIP) Morgantown Marriott at Waterfront Place, WV, USA China National Convention Center (CNCC), Beijing, China ieeeicip.org th International Symposium on Future Active Safety Technology towards Zero-Traffic-Accidents (FAST-zero) Nara Kasugano International Forum, Nara, Japan Resilience Week (RWS) IEEE AFRICON Chase Center on the Riverfront, Wilmington, DE, USA Avenue Dock Road V&A Waterfront, South Africa africon2017.org International Multi-Conference on Engineering, Computer and Information Sciences (SIBIRCON) Technopark of Novosibirsk Akademgorodok, Novosibirsk, Russia sibircon.ieeesiberia.org International Conference on Indoor Positioning and Indoor Navigation (IPIN) Conference Hall/ Hokkaido University, Sapporo, Japan International Conference on Engineering Technology and Technopreneurship (ICE2T) Premiera Hotel Kuala Lumpur, Malaysia ice2t.bmi.unikl.edu.my IEEE Conference on Standards for Communications and Networking (CSCN) Radisson Blu Hotels & Resorts, Helsinki, Finland sites.ieee.org/cscn _ The Magazine of the IEIE 70

77 일자학술대회명개최장소홈페이지 / 연락처 th International Conference on Scintillating Materials and their Applications (SCINT) Le Majestic congress center, Chamonix, France scint2017.web.cern.ch DGON Inertial Sensors and Systems (ISS) Karlsruhe Institute of Technology, Germany iss.ite.kit.edu IEEE PES Innovative Smart Grid Technologies Conference - Latin America (ISGT Latin America) JW Marriott Hotel Quito, Ecuador ieee-isgt-latam.org XVII Workshop on Information Processing and Control (RPIC) Edificio Anexo de la Facultad de Ingeniería de la Universidad Nacional de Mar del Plata, Argentina th International Conference on Reliability, Infocom Technologies and Optimization (Trends and Future Directions) (ICRITO) Amity University Uttar Pradesh, Noida, India amity.edu/aiit/icrito IEEE 11th International Conference on Application of Information and Communication Technologies (AICT) V.A. Trapeznikov Institute of Control Sciences of Russian Academy of Sciences, Moscow, Russia th International Conference on Signal Processing, Computing and Control (ISPCC) JAypee University of Information Technology, Solan, India th International Conference on Software, Telecommunications and Computer Networks (SoftCOM) Radisson Blu Resort, Split, Croatia marjan.fesb.hr/softcom/ IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS) Vancouver Convention Centre, BC, Canada IV International Electromagnetic Compatibility Conference (EMC Turkiye) METU CCM, Ankara, Turkey IEEE 86th Vehicular Technology Conference (VTC-Fall) Hilton Toronto, ON, Canada IEEE Cybersecurity Development (SecDev) Hyatt Regency Cambridge, MA, USA secdev.ieee.org th International Symposium on Communications and Information Technologies (ISCIT) Pullman Cairns International, Cairns, Australia Computer Science and Information Technologies (CSIT) National Academy of Sciences of the Republic of Armenia, Yerevan, Armenia Second Russia and Pacific Conference on Computer Technology and Applications (RPC) Russian Academy of Sciences, Far Eastern Branch, Vladivostok, Russia rpc2017.dvo.ru IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe) Politecnico di Torino, Italy sites.ieee.org/isgt-europe IEEE Visualization Conference (VIS) AZ, USA ieeevis.org 2017 년 10 월 IEEE Industry Applications Society Annual Meeting Hyatt Regency Cincinnati, OH, USA ias.ieee.org/2017annualmeeting.html IEEE International Joint Conference on Biometrics (IJCB) IEEE Energy Conversion Congress and Exposition (ECCE) Denver Marriott Tech Center, CO, USA Duke Energy Convention Center, Cincinnatti, OH, USA IEEE International Conference on Systems, Man and Cybernetics (SMC) Fairmont BANFF SPRINGS, Banff, AB, Canada IEEE VIS Arts Program (VISAP) Phoenix Convention Center, AZ, USA visap.uic.edu IEEE Photonics Conference (IPC) Buena Vista Palace Hotel & Spa, Orlando, FL, USA Tenth International Conference "Management of Large- Scale System Development" (MLSD) V.A. Trapeznikov Institute of Control Sciences of Russian Academy of Sciences, Moscow, Russia mlsd2017.ipu.ru 71 전자공학회지 _ 479

78 일자학술대회명개최장소홈페이지 / 연락처 IEEE 12th Nanotechnology Materials and Devices Conference (NMDC) Holiday Inn Atrium, Singapore ieeenmdc.org/nmdc-2017/ IEEE Conference on Visual Analytics Science and Technology (VAST) Phoenix Convention Center, AZ, USA ieeevis.org/ th IEEE Annual Information Technology, Electronics and Mobile Communication Conference (IEMCON) University of British Columbia, Vancouver, BC, Canada Fourth International Conference on Computer Technology in Russia and in the Former Soviet Union (SORUCOM) Prefecture of Zelenograd Administrative District, Moscow, Russia IEEE 3rd Information Technology and Mechatronics Engineering Conference (ITOEC) Chongqing River Romance Hotelhuanggang Road, Chongqing, China IEEE International Workshop on Signal Processing Systems (SiPS) Universite de Bretagne Sud Rue le Coat Saint Haouen Lorient, France Congreso Internacional de Innovación y Tendencias en Ingeniería (CONIITI) Universidad Catolica de Colombia, Bogota D.C., Colombia coniiti.com/ IEEE International Conference on Consumer Electronics- Asia (ICCE-Asia) Bengaluru, India st International Conference on Intelligent Systems and Information Management (ICISIM) MGMs Jawaharlal Nehru Engineering College, Aurangabad, Maharashtra, India icisim.jnec.org International Conference on Computer Science and Engineering (UBMK) ANTALYA AKDENIZ UNIVERSITY CONFERENCE CENTERS, ANKARA, Turkey th International Conference On COMPUTER APPLICATIONS IN ELECTRICAL ENGINEERING-RECENT ADVANCES (CERA) DEPARTMENT OF ELECTRICAL ENGINEERING INDIAN INSTITUTE OF TECHNOLOGY, ROORKEE UTTRAKHAND ROORKEE, India cera17.iitr.ac.in International Seminar on Application for Technology of Information and Communication (isemantic) E building, Universitas Dian Nuswantoro E Building, Indonesia isemantic.dinus.ac.id/ th International Conference on Accelerator and Large Experimental Physics Control Systems (ICALEPCS) Palau de Congressos de Catalunya Avinguda Diagonal, Spain icalepcs2017.org/ th European Microwave Conference (EuMC) Nuremberg Convention Center (NCC), Germany html IEEE 28th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC) Hôtel Bonaventure Montréal, QC, Canada IEEE 42nd Conference on Local Computer Networks Workshops (LCN Workshops) Pac Pacific Singapore Hotel, Singapore IEEE Conference on Communications and Network Security (CNS) Linq Hotel and Casino, Las Vegas Nevada. Las Vegas, NV, USA IEEE International Symposium on Mixed and Augmented Reality (ISMAR) La Cite, Nantes Events Center, Nantes, France ismar2017.sciencesconf.org/ IEEE 25th International Conference on Network Protocols (ICNP) Chestnut Conference Centre, ON, Canada IEEE Jordan Conference on Applied Electrical Engineering and Computing Technologies (AEECT) Aqaba, Jordan European Radar Conference (EURAD) Nürnberg Convention Center, Nuremberg, Germany th International Conference on Wireless Communications and Signal Processing (WCSP) TBD, Nanjing, China IEEE 19th International Conference on e-health Networking, Applications and Services (Healthcom) Inn Fine Hotel Dalian, China healthcom2017.ieee-healthcom.org/ International Conference on Computing and Communication Technologies for Smart Nation (IC3TSN) Department of Computer Science and Engineering, School of Engineering, Gurgaon, India _ The Magazine of the IEIE 72

79 일자학술대회명개최장소홈페이지 / 연락처 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery (CyberC) Sofitel Galaxy Nanjing, Nanjing, China Cyberc.org Medical Technologies National Congress (TIPTEKNO) Karadeniz Teknik Üniversitesi, Trabzon, Turkey th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) TBD, MA, USA hhunterjaeger@hotmail.com IEEE 58th Annual Symposium on Foundations of Computer Science (FOCS) CA, USA luca@berkeley.edu Embedded Systems Week (ESWeek) Lotte Hotel Seoul, South Korea IEEE 20th International Conference on Intelligent Transportation Systems (ITSC) Mielparque Yokohama, Japan Sixth Asia-Pacific Conference on Antennas and Propagation (APCAP) Shaanxi Guesthouse, Xi an, China IEEE International Young Scientists Forum on Applied Physics and Engineering (YSF) TBD, Lviv, Ukraine ysc.org.ua/2017/ IEEE Frontiers in Education Conference (FIE) Indianapolis Marriott Downtown, IN, USA fie-conference.org/ International Conference on Electron Devices and Solid- State Circuits (EDSSC) National Tsing Hua University, Hsinchu, Taiwan www2.ess.nthu.edu.tw/edssc2017/ International Conference on Information and Communication Technology Convergence (ICTC) Lotte City Hotel Jeju, Korea (South) ictc2017.org/ International Conference on Advanced Technologies for Communications (ATC) TBD, Quy Nhon, Vietnam atc-conf.org CHILEAN Conference on Electrical, Electronics Engineering, Information and Communication Technologies (CHILECON) Enjoy Pucon Hotel, Chile chilecon2017.macrofacultad.cl th International Conference on Advanced Technologies, Systems and Services in Telecommunications (TELSIKS) University of Nis, Faculty of Electronic Engineering, Nis, Serbia IEEE 5th International Symposium on Electromagnetic Compatibility (EMC-Beijing) V-Continent Beijing Parkview Wuzhou Hotel, Beijing, China nd International Conference on Communication and Electronics Systems (ICCES) PPG Institute of Technology, Coimbatore, India icoces.com/index.php IEEE International Conference on Data Science and Advanced Analytics (DSAA) Shinagawa Prince Hotel, Tokyo, Japan International Energy and Sustainability Conference (IESC) Marjaneh Issapour, NY, USA IEEE 8th Annual Ubiquitous Computing, Electronics and Mobile Communication Conference (UEMCON) Columbia University, NY, USA China International Electrical and Energy Conference (CIEEC) Beijing International Conference Center, Beijing, China IEEE Global Humanitarian Technology Conference (GHTC) DoubleTree San Jose Airport, CA, USA ieeeghtc.org Fourth International Conference on Advances in Biomedical Engineering (ICABME) Lebanese University, Faculty of engineering- EDST, Beirut, Lebanon IEEE Biomedical Circuits and Systems Conference (BioCAS) Politecnico di Torino, Italy biocas2017.org/ th IEEE International Conference on Electronic Measurement & Instruments (ICEMI) Yangzhou Convention Center, Yangzhou, China IEEE Nuclear Science Symposium and Medical Imaging Conference (NSS/MIC) Hyatt Regency Atlanta, GA, USA IEEE International Conference on Computer Vision (ICCV) Venice, Italy marcello.pelillo@gmail.com IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS) Miami Marriott Biscayne Bay, FL, USA 73 전자공학회지 _ 481

80 일자학술대회명개최장소홈페이지 / 연락처 IEEE Conference on Electrical Insulation and Dielectric Phenomenon (CEIDP) Hilton Fort Worth, TX, USA ewh.ieee.org/soc/dei/ceidp/ IEEE International Telecommunications Energy Conference (INTELEC) Gold Coast Conference and Exhibition Centre, Australia IEEE Electrical Power and Energy Conference (EPEC) Delta Bessborough Hotel, SK, Canada epec2017.ieee.ca/ IEEE International Conference on Signal Processing, Communications and Computing (ICSPCC) City hotel Xiamen, Xiamen, China hcb@nwpu.edu.cn IEEE/CIC International Conference on Communications in China (ICCC) Qingdao, China sites.ieee.org/iccc th International Conference on Electric Power Equipment - Switching Technology (ICEPE-ST) TBD, Zhengzhou, China IEEE International Conference on Computer Vision Workshop (ICCVW) Venice Convention Center, Italy iccv2017.thecvf.com/ International Radar Conference (Radar) Belfast Waterfront Conference Centre, United Kingdom IEEE 28th International Symposium on Software Reliability Engineering (ISSRE) HOTEL MERCURE TOULOUSE CENTRE COMPANS, Toulouse, France issre.net/ Seventh International Conference on Affective Computing and Intelligent Interaction Workshops and Demos (ACIIW) Menger Hotel, TX, USA IEEE International Symposium on Software Reliability Engineering Workshops (ISSREW) HOTEL MERCURE TOULOUSE CENTRE COMPANS, Toulouse, France issre.net International Topical Meeting on Microwave Photonics (MWP) China National Convention Center, Beijing, China IEEE 6th Global Conference on Consumer Electronics (GCCE) Nagoya, Japan PRE_ ivory.pdf th International Conference in Software Engineering Research and Innovation (CONISOFT) Facultad de Matemáticas, Mérida, Mexico redmis2016.com.mx/conisoft2017/ IEEE II International Conference on Control in Technical Systems (CTS) St. Petersburg Electrotechnical University LETI, Saint Petersburg, Russia university/news/ii-international-conferenceon-control-in-technical-systems IEEE 12th International Conference on ASIC (ASICON) PULLMAN GUIYANG, Guiyang, China th IEEE Uttar Pradesh Section International conference on Electrical, Computer and Electronics (UPCON) GLA Univeristy, Mathura, India th International Conference on Computer and Knowledge Engineering (ICCKE) Ferdowsi University of Mashhad, Mashhad, Iran iccke.um.ac.ir Recent Developments in Control, Automation & Power Engineering (RDCAPE) Amity University Uttar Pradesh, NOIDA, India amity.edu/rdcape2017/ IEEE 17th International Conference on Communication Technology (ICCT) UESTC Academic Meeting Center, Chengdu, China International Conference on Recent Innovations in Signal processing and Embedded Systems (RISE) MANIT, Bhopal, India th IEEE International Conference on MOOCs, Innovation and Technology in Education (MITE) BMS College of Engineering, Bangalore, India International Conference on Advanced Computer Science and Information Systems (ICACSIS) Sanur Paradise Plaza Hotel, Denpasar Bali, Indonesia icacsis.cs.ui.ac.id st Asilomar Conference on Signals, Systems, and Computers Asilomar Conference Grounds, CA, USA th International Conference on Electrical Engineering - Boumerdes (ICEE-B) Institute of Electrical and Electronic Engineering (IGEE, ex.inelec), University of Boumerdes, Algeria icee2017.univ-boumerdes.dz/ IECON rd Annual Conference of the IEEE Industrial Electronics Society China National Convention Center, Beijing, China International Conference on Computing Networking and Informatics (ICCNI) Covenant University, Ota, Nigeria iccns.covenantuniversity.edu.ng/ 482 _ The Magazine of the IEIE 74

81 일자학술대회명개최장소홈페이지 / 연락처 IEEE SENSORS Scottish Exhibition and Conference Centre, United Kingdom cdyer@conferencecatalysts.com IEEE/ACS 14th International Conference on Computer Systems and Applications (AICCSA) Hammamet, Tunisia IEEE 5th Workshop on Wide Bandgap Power Devices and Applications (WiPDA) Hyatt Regency Tamaya Resort, NM, USA International Symposium on Antennas and Propagation (ISAP) The Phuket Graceland Resort & Spa, Phuket, Thailand IEEE-SA Ethernet & Automotive Technology Day (E&IP@ATD) TBD, CA, USA nd IEEE/ACM International Conference on Automated Software Engineering (ASE) Illini Union, IL, USA ase2017.org IEEE Fog World Congress (FWC) CA, USA tazhang2@cisco.com IEEE International Test Conference (ITC) 2017 년 11 월 Fort worth Convention Center, TX, USA IEEE International Conference on Cloud Computing in Emerging Markets (CCEM) Vivanta by Taj, Bangalore, India conferences.computer.org/ccem SC17: International Conference for High Performance Computing, Networking, Storage and Analysis CO, USA International Conference on Wireless Networks and Mobile Communications (WINCOM) ENSIAS, Mohammed V University of Rabat, Rabat, Morocco Conference on Information and Communication Technology (CICT) ABV Indian Institute of Information Technology and Management Gwalior, India cict2017.org Third International Conference on Research in Computational Intelligence and Communication Networks (ICRCICN) RCC INSTITUTE OF INFORMATION TECHNOLOGY, Kolkata, India IEEE MIT Undergraduate Research Technology Conference (URTC) Massachusetts Institute of Technology, Cambridge, MA, USA ieee.scripts.mit.edu/conference International Conference on Medical Imaging Physics and Engineering (ICMIPE) Yingjie Conference Center, Beijing, China gaoss@pku.edu. 추 IEEE 6th International Conference on Renewable Energy Research and Applications (ICRERA) Town and Country Resords and Covention Center, San Diego, CA, USA International SoC Design Conference (ISOCC) Grand Hilton Seoul, Seoul, Korea (South) TENCON IEEE Region 10 Conference TBD, Malaysia zuhaina@ieee.org IEEE Green Energy and Smart Systems Conference (IGESSC) Pyramid, CSULB, Long Beach, CA, USA sites.ieee.org/clas-sysc IEEE Healthcare Innovation Point-of-Care Technologies (HI-POCT) NAID Conference Center, Bethesda, MD, USA j.sandler@ieee.org nd Annual Conference on Magnetism and Magnetic Materials (MMM) David L. Lawrence Convention Center, Pittsburgh, PA, USA International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS) Xiamen International Conference Center Hotel, Xiamen, China ispacs2017.hqu.edu.cn/ IEEE 3rd International Conference on Electro-Technology for National Development (NIGERCON) Federal University of Technology, Owerri (FUTO), Owerri, Nigeria Pacific Neighborhood Consortium Annual Conference and Joint Meetings (PNC) National Cheng Kung University, Tainan, Taiwan IEEE International Autumn Meeting on Power, Electronics and Computing (ROPEC) Azul IXtapa Grand Hotel, Ixtapa, Mexico IEEE PES Asia-Pacific Power and Energy Engineering Conference (APPEEC) Le Meridien, Bangalore, India 75 전자공학회지 _ 483

82 일자학술대회명개최장소홈페이지 / 연락처 rd International Conference on VLSI Systems, Architecture, Technology and Applications (VLSI SATA) Amrita School of Engineering, Bangalore, India web-blr.amrita.edu/vlsi_sata/index.htm# IEEE International Conference on Rebooting Computing (ICRC) The Ritz-Carlton, Tyson's Corner, McLean, VA, USA icrc.ieee.org International Symposium on Computers in Education (SIIE) Lisbon School of Education ESELx, Lisboa, Portugal siie2017.pt/ th International Conference on Communication Systems and Network Technologies (CSNT) IE Nagpur, Nagpur, India IEEE/ACM International Conference on Computer-Aided Design (ICCAD) Irvine Marriott, CA, USA iccad.com/ IEEE Conference on Technologies for Sustainability (SusTech) Phoenix Airport Marriott Hotel, AZ, USA sites.ieee.org/sustech/ IEEE Asia Pacific Microwave Conference (APMC) New World Renaissance Hotel, Kuala Lumpur, Malaysia IEEE International Conference on Microwaves, Antennas, Communications and Electronic Systems (COMCAS) David Intercontinental Tel-Aviv Hotel, Tel-Aviv, Israel IEEE International Conference on Bioinformatics and Biomedicine (BIBM) Westin, MO, USA cci.drexel.edu/ieeebibm/bibm International Automatic Control Conference (CACS) th World Engineering Education Forum (WEEF) Howard Beach Resort Kenting, Pingtung, Taiwan Berjaya Times Square, Kuala Lumpur, Malaysia isdlab.ie.ntnu.edu.tw/cacs2017/ IEEE Global Conference on Signal and Information Processing (GlobalSIP) Hotel Bonaventure, Montreal, QC, Canada 2017.ieeeglobalsip.org/ Fifth International Conference on Aerospace Science & Engineering (ICASE) Institute of Space Technology, Islamabad, Pakistan Military Communications and Information Systems Conference (MilCIS) National Convention Centre, Canberra, Australia Dynamics of Systems, Mechanisms and Machines (Dynamics) Omsk State Technical University, Omsk, Russia conf.ict.nsc.ru/dynamics-2017/ru IEEE-RAS 17th International Conference on Humanoid Robotics (Humanoids) Birmingham Repertory Theatre, United Kingdom st International Computer Science and Engineering Conference (ICSEC) TBD, Bangkok, Thailand First International Conference on Latest trends in Electrical Engineering and Computing Technologies (INTELLECT) College Of Engineering (CoE), Karachi, Pakistan intellect.pafkiet.edu.pk IEEE 37th Central America and Panama Convention (CONCAPAN) Crowne Plaza Hotel and Convention Center, Managua, Nicaragua ieee.nicaragua@ieee.org International Conference on Electrical and Information Technologies (ICEIT) Rabat, Morocco nd International Conference on Green Computing and Internet of Things (ICGCIoT) Galgotias College of Engineering & Technology (GCET), India gciot-conference.org/2017/ rd International Conference on Condition Assessment Techniques in Electrical Systems (CATCON) Indian Institute of Technology Ropar, India International Conference on Information, Communication and Engineering (ICICE) TBD, Xiamen, Fujian, China 2017.icice.net/ IEEE International Conference on Data Mining (ICDM) TBD, LA, USA xwu@louisiana.edu International Symposium on Wireless Systems and Networks (ISWSN) The University of Lahore, Pakistan sites.uol.edu.pk/iswsn nd Microoptics Conference (MOC) Convention Hall, Institute of Industrial Science, Tokyo, Japan IEEE International Conference on Cybernetics and Intelligent Systems (CIS) and IEEE Conference on Robotics, Automation and Mechatronics (RAM) Pan Pacific Ningbo, Ningbo, China Brazilian Power Electronics Conference (COBEP) Federal University of Juiz de Fora Campus UFJF, Juiz de Fora, Brazil _ The Magazine of the IEIE 76

83 일자학술대회명개최장소홈페이지 / 연락처 Progress in Electromagnetics Research Symposium - Fall (PIERS - FALL) Nanyang Technological University, Singapore piers.org/piers2017singapore/ IEEE International Conference on Cybernetics and Computational Intelligence (CyberneticsCom) prince songkla University phuket, Thailand th International Conference on Control, Instrumentation, and Automation (ICCIA) Shiraz University, Shiraz, Iran iccia.ir/?lang=en International Conference on Electrical and Computing Technologies and Applications (ICECTA) American University of Ras Al Khaimah, United Arab Emirates icecta.aurak.ac.ae th Telecommunication Forum (TELFOR) Sava Center, Belgrade, Serbia telfor.rs Electronic Congress (E-CON UNI) Sheraton Lima Hotel & Convention Center, Lima, Peru Lima Peru e-con@uni.edu.pe Nirma University International Conference on Engineering (NUiCONE) Institute of Technology, Nirma University, Ahmedabad, India International Conference on Inventive Computing and Informatics (ICICI) Hotel Arcadia, India icici17.com/index.html International Conference on Intelligent Informatics and Biomedical Sciences (ICIIBMS) Okinawa Institute of Science and Technology Graduate University(OIST), Okinawa, Japan International Conference on Multimedia, Signal Processing and Communication Technologies (IMPACT) Dept. of Electronics Engineering, AMU, Aligarh, India th NAFOSTED Conference on Information and Computer Science University of Engineering and Technology, Vietnam National University, Hanoi, Vietnam nics2017.org th IEEE International Conference on Software Engineering and Service Science (ICSESS) Chen Xing, Beijing, China IEEE International Conference on Antenna Innovations & Modern Technologies for Ground, Aircraft and Satellite Applications (iaim) TBD, Bangalore, India th International Conference on Network and Service Management (CNSM) Waseda University, Tokyo, Japan IEEE Symposium Series on Computational Intelligence (SSCI) Hilton Hawaiian Village Resort in Waikiki, USA bonissone@gmail.com International Conference on Robotics, Automation and Sciences (ICORAS) Multimedia University, Melaka, Malaysia fet.mmu.edu.my/icoras Seventh International Conference on Image Processing Theory, Tools and Applications (IPTA) École de technologie supérieure, QC, Canada th Symposium on Information Theory and its Applications (SITA) Shiratama no Yu Senkei, Shibata, Japan th IEEE International Conference on Engineering Technologies and Applied Sciences (ICETAS) Conference Hall, Salmabad, Bahrain icetas.etssm.org Future Technologies Conference (FTC) TBA, Vancouver, BC, Canada saiconference.com/ftc IEEE Women in Engineering (WIE) Forum USA East Royal Sonesta, Baltimore, MD, USA sites.ieee.org/wie-forum-usa-east th International Conference on Electrical and Electronics Engineering (ELECO) BAOB-Campus of Union of Academic Chambers of Bursa, Bursa, Turkey eleco.org.tr 77 전자공학회지 _ 485

84 The Magazine of the IEIE 특별회원사및후원사명단 회원명 대표자 주소 전화 홈페이지 AP 위성통신 류장수 서울시금천구가산디지털2로 98 2동 9층 FCI 한상우 경기도성남시분당구판교로 255번길 35( 삼평동 ) 실리콘파크 B동 7층 I&C테크놀로지 박창일 경기도성남시분당구판교로 255번길 24 아이앤씨빌딩 KT 황창규 경기도성남시분당구정자동 LDT 정재천 충남천안시서북구한들1로 WE빌딩 LG전자 구본준 서울시영등포구여의도동 LIG 넥스원 이효구 서울시서초구강남대로 369( 서초동, 나라빌딩 ) RadioPulse 권태휘 경기도성남시분당구대왕판교로 660 유스페이스1A 1106호 ( 삼평동 ) SK Telecom 장동현 서울특별시중구을지로65( 을지로2가 ) SK T-타워 SK 하이닉스 박성욱 경기도이천시부발읍아미리산 국제종합측기 박재욱 서울특별시강남구강남대로 354 ( 역삼동 831, 혜천빌딩 10F, 12F) 나노종합기술원 이재영 대전광역시유성구대학로 291 ( 구성동, 한국과학기술원 ) 네이버 김상헌 경기도성남시분당구불정로 6 ( 정자동그린팩토리 ) 넥서스칩스 Douglas M. Lee 서울시강남구역삼동 넥스트칩 김경수 경기도성남시분당구판교로 323 벤처포럼빌딩 넥스파시스템 이상준 서울특별시성동구자동차시장1길 누리미디어 최순일 서울시영등포구선유로 63, 4층 ( 문래동 6가 ) 다빛센스 강영진 경기도성남시중원구사기막골로 124, Skn테크노파크비즈동 다우인큐브 이예구 경기도용인시수지구디지털벨리로 81 ( 죽전동디지털스퀘어 2층 ) 대구테크노파크 송인섭 대구시달서구대천동 대덕G.D.S 이희준 경기도안산시단원구산단로 63( 원시동 ) 대덕전자 김영재 경기도시흥시소망공원로 335 ( 정왕동 ) 대성전기 이철우 경기도안산시단원구산단로 31 ( 원시동, 8-27블럭 ) ( 재 ) 대전테크노파크 권선택 대전시유성구테크노9로 35 대전테크노파크 더즈텍 김태진 경기도안양시동안구학의로 292 금강펜테리움IT타워 A동 1061호 덴소풍성전자 김경섭 경남창원시성산구외동 동부하이텍 최창식 경기도부천시원미구수도로 동아일렉콤 손성호 경기도용인시처인구양지면남곡로 동운아나텍 김동철 서울시서초구서초동 아리랑타워 9층 디엠티 김홍주 대전광역시유성구테크노11로 라온텍 김보은 경기도성남시분당구황새울로360번길 42, 18층 ( 서현동 AK플라자 ) 라이트웍스 서인식 서울강남구테헤란로88길 14, 4층 ( 신도리코빌딩 ) 만도 성일모 경기도성남시분당구판교로 255번길 문화방송 안광한 서울시마포구성암로 삼성전자 권오현 서울시서초구서초2동 삼성전자빌딩 삼화콘덴서 황호진 경기도용인시처인구남사면경기동로 227 ( 남사면북리 124) 서연전자 조명수 경기도안산시단원구신원로 세미솔루션 이정원 경기도용인시기흥구영덕동 1029 흥덕U타워지식산업센터 20층 2005호 세원텔레텍 김철동 경기도안양시만안구전파로44번길 스카이크로스코리아 조영민 경기수원시영통구영통동 디지털엠파이어빌딩 C동 801호 _ The Magazine of the IEIE 78

85 회원명 대표자 주소 전화 홈페이지 ( 주 ) 시솔 이우규 서울시강서구공항대로 61길 29 서울신기술센터 A동 202호 실리콘마이터스 허염 경기도성남시분당구대왕판교로 660 유스페이스-1 A동 8층 실리콘웍스 한대근 대전시유성구탑립동 싸인텔레콤 성기빈 서울시영등포구경인로 775, 문래동 3가에이스하이테크시티 1동 119호 ( 주 ) 쏠리드 정준, 이승희 경기도성남시분당구판교역로 220 쏠리드스페이스 씨자인 김정표 경기성남시분당구구미동 보명프라자 아나패스 이경호 서울시구로구구로동 신세계아이앤씨디지털센타 7층 아바고테크놀로지스 전성민 서울시서초구양재동 아이닉스 황정현 수원시영동구덕영대로 1556번길 16, C동 1004호 ( 영통동, 디지털엠파이어 ) 아이디어 황진벽 서울마포구연남동 번지대원빌딩 5층 아이언디바이스 박기태 서울강남구신사동 예영빌딩 402호 아이에이 김동진 서울송파구송파대로 22길 5-23 ( 문정동 ) 안리쓰코퍼레이션 토루와키나가 경기도성남시분당구삼평동 681번지 H스퀘어 N동 5층 502호 알파스캔디스플레이 류영렬 서울특별시강서구허준로 217 가양테크노타운 202호 에디텍 정영교 경기도성남시분당구삼평동 621번지판교이노벨리 B동 1003호 에스넷시스템 박효대 서울특별시강남구선릉로 514 ( 삼성동 ) 성원빌딩 10층 에스엘 이충곤 경북경산시진량읍신상리 에이치앤티테크 강임성 대전광역시유성구용산동 에이투테크 김현균 경기도성남시수정구복정동 상헌빌딩 3층 엠텍비젼 이성민 경기도성남시분당구판교로 255번길 58 6층 601호 오픈링크시스템 성재용 광주광역시서구치평로 112 정연하이빌 402호 우양신소재 윤주영 대구광역시북구유통단지로 8길 유라코퍼레이션 엄병윤 경기도성남시분당구삼평동 유텔 김호동 경기도군포시당정동 이노피아테크 장만호 경기도상남시중원구갈마치로 215 A동 405호 주식회사이디 박용후 경기도성남시중원구상대원동 ( 둔촌대로457번길 14) 자람테크놀로지 백준현 경기도성남시분당구야탑동 파인벤처빌딩 2층 , 전자부품연구원 박청원 경기도성남시분당구새나리로 25 ( 야탑동 ) 주식회사제이엔티이엔지 최승훈 경기도성남시중원구사기막골로 148, 701호 ( 상대원동, 중앙이노테크 ) 제퍼로직 정종척 서울강남구역삼1동 아주빌딩 1801호 지에스인스트루먼트 고재목 인천시남구길파로71번길 70 ( 주안동 ) 지엠테스트 고상현 충남천안시서북구직산읍군서1길 19( 군서리 134) 충북테크노파크 남창현 충북청주시청원구오창읍연구단지로 케이던스코리아 ( 유 ) 제임스해댓 경기도성남시분당구판교로 344 엠텍IT타워 9층 (main office)/2층 코아리버 배종홍 서울시송파구가락본동 78번지 IT벤처타워서관 11층 콘티넨탈오토모티브시스템선우현 경기도성남시분당구판교역로 220 솔리드스페이스빌딩 클레어픽셀 정헌준 경기도성남시분당구판교로 242 판교디지털센터 A동 301호 키움인베스트먼트 박상조 서울특별시영등포구여의나루로4길 18 키움파이낸스스퀘어빌딩 16층 텔레칩스 이장규 서울특별시송파구올림픽로35다길 42 ( 신천동, 루터빌딩 19층 ~23층 ) 티에이치엔 채석 대구시달서구갈산동 티엘아이 김달수 경기도성남시중원구양현로 405번길 12 티엘아이빌딩 파워큐브세미 강태영 경기도부천시오정구석천로397( 부천테크노파크쌍용3차 ) 103동 901호 페어차일드코리아반도체 김귀남 경기도부천시원미구도당동 하이브론 이홍섭 인천광역시부평구청천동안남로402번길 25 3층 전자공학회지 _ 487

전자공학회지 2014.2월호 시안최종2:레이아웃 1 14. 02. 20 오?? 5:18 페이지 1 ISSN 1016-9288 제41권 2호 2014년 2월호 The Magazine of the IEIE 제 4 1 권 제 2 호 ( 2 0 1 4 년 2 월 ) 융 합 영 상 진 단 vol.41. no.2 융합영상진단 및 영상유도 치료 기술 동향 융합영상진단 및

More information

전자공학회지 2014.1월호 시안최종:레이아웃 1 14. 01. 21 오?? 3:24 페이지 1 ISSN 1016-9288 제41권 1호 2014년 1월호 The Magazine of the IEIE 제 4 1 권 vol.41. no.1 제 1 호 ( 2 0 1 4 년 1 월 ) 자 동 차 자동차 전자 자율주행 자동차 개발현황 및 시사점 차량/운전자 협력 자율주행

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 6호 2016년 6월호 제 4 3 권 제 6 호 The Magazine of the IEIE vol.43. no.6 ( ) 2 0 1 6 년 6 월 스 마 트 공 장 동 향 및 이 슈 스마트 공장 동향 및 이슈 스마트공장 기술 동향 및 R&D로드맵 스마트 공장을 위한 사물인터넷 기술 동향 스마트공장 표준화 동향과 시스템 구조

More information

098-103감사인사

098-103감사인사 감사인 소식 ❶ 감 사 원 2016년 고위감사공무원 등 임명장 수여 승 김주성, 한상준, 고현정, 김창우, 이태훈, 신영은, 진 2015. 11. 1. 한민주, 이덕영, 김호준, 이철재, 함유진, 정진화, 부감사관 김현태, 한승목, 윤일기, 정용현 유희연, 이은정 2016. 1. 18. 일반직고위감사공무원 IT감사단장 이남구 방송통신주사 이유리 일반직고위감사공무원

More information

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다.

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-9 호 소속 : 동신대학교 성명 : 유근창 귀하를본학회총무이사로위촉합니다. 제 2015-10 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-11 호 소속 : 대전보건대학교 성명 : 백승선 귀하를본학회사업이사로위촉합니다. 제 2015-12 호 소속 : 부산과학기술대학교 성명 : 신장철 귀하를본학회법제이사로위촉합니다.

More information

1111

1111 4 분당판 20권 21호 2014년 5월 25일 생명순활동상활 생명순활동상황 생명순 보고는 토요일 오전까지 마쳐주십시오. 보고자 : 김연호 목사 010-9251-5245 보고 : 각 교구 조장님께서 교구 사역자에게 보고해 주세요. 분당판 20권 21호 2014년 5월 25일 생명순활동상황 전도실적은 전도 한 분이 소속한 교구의 생명순에 전도한 인원수를 추가합니다.

More information

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 (

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 2016 학년도대학별논술고사일정 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 일 ) 경북대 11 월 21 일 ( 토 ) 경희대 [ 자연 1, 인문, 예체능 ] 11 월 14(

More information

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도 ISSN 1016-9288 제44권 1호 2017 년 1 월호 The Magazine of the IEIE vol.44. no.1 반도체산업의두번째도약 지능형 SoC 와그응용 나노공정시대의아날로그반도체기술 융합기술시대에서반도체의역할 Fabless 산업과반도체산업의도약 반도체설계교육센터 (IDEC) 와반도체산업 www.theieie.org 2017년도 임원 및

More information

0904-6부 tta부록

0904-6부 tta부록 1988 6부 Appendix 부록 2008 우리 경제가 이처럼 비약적인 성장을 거듭할 수 있었던 중요한 요소 중, 하나를 꼽으라고 한다면 단연 정보통신기술의 발전을 빼놓을 수 없을 것이다. 현재의 대한민국은 세계 IT시장의 변화를 이끌어가는 명실상부한 경쟁력을 자랑하고 있다. 연표 정보통신표준 제 개정 현황 임원 부서장 표준 제 개정 및 폐지 절차 이사회

More information

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인 2009 년제 56 차교원교육학술대회 교원성과상여금제도의 진단및개선방향 일시 : 2009 년 12 월 4 일 [ 금 ] 13:00-17:10 장소 : 서울교육대학교전산관 1 층 후원 : 교육과학기술부 한국교원교육학회 학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대

More information

ISSN 1016-9288 제44권 5호 2017 년 5 월호 The Magazine of the IEIE vol.44. no.5 IoT 보안기술의동향및위협 IoT 보안이슈및국내외보안기술개발동향 IoT 융합보안의동향분석및보안강화방안 IoT 용보안기능내장칩과현황소개 IoT 및센서기반자동채점운전면허시험개선프로그램개발과현장적용방안 산업용사물인터넷 (IIoT) 시장전망과기술동향

More information

ISSN 1016-9288 제45권 6호 2018 년 6 월호 The Magazine of the IEIE vol.45. no.6 4 차산업혁명과스마트제조기술및서비스동향 스마트공장표준화필요성및국내 외표준화동향 Industry 4.0을위한가변재구성제조시스템 (RMS4.0) 스마트공장정책및산업동향 스마트팩토리공급산업육성을위한테스트베드구축사례연구 스마트팩토리를위한가변재구성유연생산기술

More information

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 12호 2018 년 12 월호 The Magazine of the IEIE vol.45. no.12 스마트웨어러블기기에대한기술동향및전망 스마트웨어러블기기의 IEC 국제표준화동향 스마트웨어러블기기를위한전기변색기술동향 스마트의류시장현황및기술이슈 E-textiles 표준화동향 스마트웨어러블기기를위한형태가변형디스플레이기술동향및전망

More information

ÈûÂù100102

ÈûÂù100102 www.himchanhospital.com 2010 01+02 www.himchanhospital.com Contents Himchan People 02 04 07 08 10 12 14 15 16 17 18 Himchan network 19 20 22 Special Theme 04 + 05 06 + 07 } 08 + 09 I n t e r v i e w 10

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납 ISSN 1016-9288 제44권 12호 2017 년 12 월호 The Magazine of the IEIE vol.44. no.12 고령친화산업기술개발동향 고령친화산업시장현황및전망 사례중심의고령친화제품기술동향 고령사회시대중재적간호를위한지능형욕창방지매트리스 고령자의보행을위한고령친화용품의개발 고령친화형가정식대체식품동향 www.theieie.org 2018년도

More information

01....-1

01....-1 ISSN 1016-9288 제41권 7호 2014년 7월호 제 4 1 권 제 7 호 ( ) 2 0 1 4 년 7 월 E M P 영 향 과 필 터 개 발 동 향 The Magazine of the IEIE EMP 영향과 필터 개발동향 vol.41. no.7 전자부품에 미치는 고에너지 전자기파의 영향 전도성 전자파 해석 연구 동향 분석 HEMP 필터 개발 동향

More information

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연 이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 2017. 6. 22 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연구위원송대성박사前 ) 세종연구소소장방효복예 ) 중장前 ) 국방대학교총장남성욱박사고려대행정전문대학원장이원우박사前

More information

ISSN 1016-9288 제44권 10호 2017 년 10 월호 The Magazine of the IEIE vol.44. no.10 미래유망기술표준및시험인증동향 유망시험인증서비스전략로드맵 대용량에너지저장장치안전성시험 국내주요정보보호의무제도동향 가상현실표준화현황사용자이용을중심으로 항공분야극한전자기환경극복기술시험평가기술동향 www.theieie.org Contents

More information

RR hwp

RR hwp 국가 e- 러닝품질관리센터운영을위한 e- 러닝품질관리가이드라인 연구책임자 연구협력관 도움을주신분들 김선태 ( 한국직업능력개발원 ) 김재현 ( 부산교육연구정보원 ) 김태민 ( 인천교육과학연구원 ) 김홍래 ( 춘천교육대학교 ) 문수영 ( 크레듀 ) 박상섭 ( 경남교육과학연구원 ) 박치동 ( 서울시교육청 ) 서유경 ( 한국교육과정평가원 ) 서준호 ( 한국교원연수원

More information

08학술프로그램

08학술프로그램 www.kafle.or.kr Foreign Language Teachers Expertise 01 01 02 03 04 05 06 07 한국외국어교육학회 2008년 겨울학술대회 학술대회 관련 문의 좌장: 이강국 (대학원 309호) 13:30~14:00 명사구 내 형용사의 위치와 의미 유은정 이상현 곽재용 14:00~14:30 스페인어 문자체계의 발달과정 연구

More information

04 특집

04 특집 특집 도서관문화 Vol.51 NO.5(2010.5) 시작하는 말 18 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크란? 19 도서관문화 Vol.51 NO.5(2010.5) 20 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크, 환경에 따라 변모하다 21 도서관문화 Vol.51 NO.5(2010.5) 소셜 네트워크와 도서관을 결합시키다

More information

41-4....

41-4.... ISSN 1016-9288 제41권 4호 2014년 4월호 제 4 1 권 제 4 호 ( ) 2 0 1 4 년 4 월 차 세 대 컴 퓨 팅 보 안 기 술 The Magazine of the IEIE 차세대 컴퓨팅 보안기술 vol.41. no.4 새롭게 진화하는 위협의 패러다임 - 지능형 지속 위협(APT) 인터넷을 통해 유포되는 악성 프로그램 대응전략 차세대

More information

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 ISSN 1016-9288 제45권 1호 2018 년 1 월호 The Magazine of the IEIE vol.45. no.1 AI( 인공지능 ) 반도체 머신러닝가속기연구동향 효율적메모리관리를통한모바일 CNN 가속기의최적화 에너지고효율인공지능하드웨어 인공지능하드웨어설계및최적화기술 뉴로모픽컴퓨팅기술 인공지능반도체 NM500 www.theieie.org 2018년도

More information

필수연구자료들의가치를높여줄최상의플랫폼을소개합니다. 검색, 문제해결, 의사결정지원분석기능까지모두갖춘만능솔루션 Authorized Dealer in Korea http://www.kitis.co.kr TEL. 02-3474-5290( 代 ) Contents 제 43 권 8 호 (2016 년 8 월 ) 대한전자공학회 70 년사 12 대한민국광복 71 년, 대한전자공학회

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 4호 2016 년 4 월호 The Magazine of the IEIE vol.43. no.4 5G 이동통신동향 : 서비스, 표준화, 유망기술 5G 서비스에서의패러다임시프트 5G 이동통신표준화및주파수동향 Effective Self-interference Cancellation for In-band Full-duplex Massive

More information

41-5....

41-5.... ISSN 1016-9288 제41권 5호 2014년 5월호 제 4 1 권 제 5 호 ( ) 2 0 1 4 년 5 월 SSD (Solid State Drive) The Magazine of the IEIE vol.41. no.5 SSD (Solid State Drive) SSD (Solid State Drive)를 이루는 기술과 미래 SSD의 등장에 따른 OS의

More information

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크 Individual BC1 Pool A Standing 1 101 유원종 여수동백원 3 1 1 8 8 0 2 114 이유진 모자이크 3 1 1 4 2 0.666666667 3 115 박상호 경남부치소 3 1 1 5 6-0.333333333 4 128 권오찬 충남보치아연맹 3 1 1 5 6-0.333333333 Individual BC1 Pool A Game

More information

1842È£-º»¹®

1842È£-º»¹® 제1842호 2007. 4. 23 사랑받은 50년! 정성으로 보답하겠습니다 가톨릭중앙의료원 개원기념식 거행 (의료원/성모/강남성모/의정부성모병원) 가톨릭중앙의료원 개원기념식 2007년 5월 3일 (목) 10:30 ~, 가톨릭의과학연구원 2층 대강당 가톨릭의대 성모병원 개원71주년 기념식 2007년 5월 3일(목) 7:50~, 성모병원(여의도) 본관 4층 강당

More information

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위 ISSN 1016-9288 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위한 LEGO Mindstorms EV3 연구 www.theieie.org Together,

More information

전자공학회지.11월호_레이아웃 1 16. 11. 22 오전 10:47 페이지 2 ISSN 1016-9288 제43권 11호 2016년 11월호 The Magazine of the IEIE 제 4 3 권 제 11 호 vol.43. no.11 ( 2 0 1 6 년 11 월 머신 러닝 기반 머신 비젼 최신 기술 동향 딥러닝 기법을 이용한 머신 비젼 기술 최근 응용

More information

수의대소식지5호(10)

수의대소식지5호(10) College of Veterinary Medicine, Seoul National University Newsletter September 2014 No. 5 Tel. 02-880-1231 http://vet.snu.ac.kr CONTENTS 1 7 17 2 9 3 10 No. 5, 2014. 9 2 College of Veterinary Medicine,

More information

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도 제 4 2 권 제 1 호 ( ) 2 0 1 5 년 1 월 도체소ISSN 1016-9288 제42권 1호 The Magazine of the IEIE 반도체소자제조기술동향 GaN(Gallium Nitride) 기반전력소자제작기술개발현황 고출력 LED 조명개발및기술개발동향 OLED 기술개발및공정기술동향과시장전망 CMOS Image Sensor(CIS) 제작기술동향

More information

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Tae-HoonYoon

More information

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Sang-IlSeok

More information

ISSN 1016-9288 제44권 7호 2017 년 7 월호 The Magazine of the IEIE vol.44. no.7 최신무선통신기술및표준화동향 차세대이동통신시스템에서의위치측위기술및표준화동향 IMT-2020 5G 서비스용후보대역결정을위한공유연구동향 802.11P 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 RF 무선전력전송기술과관련무선통신연구동향

More information

ISSN 1016-9288 제44권 9호 2017 년 9 월호 The Magazine of the IEIE vol.44. no.9 로봇산업의발달 지능형로봇산업산업동향과정책방향 원격조종로봇의주행을위한 3차원반구가시화시스템 뱀로봇하늘을날다 지능형 AGV 시스템을위한로드맵및원격제어기술개발사례 Make-Fail-Play 청소년들의창의성을깨우는 WRO www.theieie.org

More information

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 1 2 3 4 5 6 7 8 9 9 2010.1 Fashionbiz

More information

???춍??숏

???춍??숏 Suseong gu Council Daegu Metropolitan City www.suseongcouncil.daegu.kr Contents SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY 10 www.suseongcouncil.daegu.kr 11 SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 5 호 2015 년 5 월호 The Magazine of the IEIE vol.42. no.5 차세대멀티미디어서비스를위한기술 실감형원격영상회의를위한시선맞춤기술 Computer Vision 연구자가 Deep Learning 의시대를사는법 실감형컨텐츠를위한무선접속기술 랜덤워크를이용한영상멀티미디어데이터의중요도검출연구 사이버물리멀티미디어시스템활용서비스와통신기술분석

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 3호 2018 년 3 월호 The Magazine of the IEIE vol.45. no.3 최신의료진단기술및동향 생체전기물성기반암진단및치료효과모니터링연구 스펙트럼전산화단층촬영의적용및최신동향 흉부디지털단층영상합성시스템소개및최근연구동향 핵의학 PET/CT 의임상적용 헬스케어웨어러블디바이스의기술및시장동향 www.theieie.org

More information

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And 2017 학년도 IT 융합학습동아리 2 차선정자명단 번호 팀명 지도교수 번호 학번 학과 학년 비고 지원금액 ( 원 ) 1 20164047 전기공학과 2 대표학생 2 20164043 전기공학과 2 구성원 1 오합지졸 김기찬 3 20161435 전기공학과 2 구성원 4 20161464 전기공학과 2 구성원 5 20161466 전기공학과 2 구성원 6 20141935

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 11 호 2015 년 11 월호 The Magazine of the IEIE 의료영상과응용방안 vol.42. no.11 레디오믹 (Radiomic) 분석과암의료영상 의료초음파영역 : 해부학적영상그이상 의료용내시경의이해와응용 CT 의원리와임상적용 영상유전학 (Imaging genetics) 을통한질병분석 www.theieie.org

More information

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E 전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 B PLC (시간) 이기태 A 열전달 김상윤 A 산업디자인 (시간) 최병오 B 전기기기실험 정대성 D 전기기기실험 정대성 B 열전달 김상윤 E 전기기기실험 정대성 C 열전달 김상윤 F 전기기기실험 정대성 D 기계요소설계 이동호 E 기계요소설계 이동호 F 기계요소설계 이동호 A 영어회화

More information

ISSN 1016-9288 제43권 10호 2016 년 10 월호 The Magazine of the IEIE vol.43. no.10 압축센싱을활용한통신및레이더신호처리 압축센싱기술을적용한초광대역수신기개발동향 압축센싱과광대역레이더신호획득기술 실리콘공정기술기반초고속압축센싱용수신기의집적화구현연구 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구형 탐색레이더에서의압축센싱기술기반

More information

ISSN 1016-9288 제45권 10호 2018 년 10 월호 The Magazine of the IEIE vol.45. no.10 가상현실 (VR) 을위한통신기술 무선 VR 서비스를위한통신네트워크시스템고선명영상지원초저지연무선 VR 전송기술무선 VR 플랫폼기술동향과전망무선 VR을위한무선랜표준기술분석가상현실 VR 오디오 VR 콘텐츠어지럼증의유발요인에대한이해와저감방안

More information

ISSN 1016-9288 제45권 5호 2018 년 5 월호 The Magazine of the IEIE vol.45. no.5 수중 IoT(UIoT:Underwater Internet of Things) 수중통신개요 수중기지국기반수중음파통신기술과활용분야 수중음파통신기술과동향 수중무선광통신기술동향 수중극저주파 (Extremely Low Frequency)

More information

41-9....

41-9.... ISSN 1016-9288 제41권 9호 2014년 9월호 제 4 1 권 제 9 호 ( ) 2 0 1 4 년 9 월 첨 단 전 자 시 스 템 의 산 업 기 술 The Magazine of the IEIE vol.41. no.9 첨단 전자시스템의 산업기술 R&D 전략 최신의료기기 기술 및 산업동향 시스템반도체 현황 및 경쟁력 분석 통합모듈형항공전자(IMA) 기술동향

More information

07변성우_ok.hwp

07변성우_ok.hwp 2 : (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.631 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a), b) Metadata Management System Implementation

More information

ISSN 1016-9288 제45권 9호 2018 년 9 월호 The Magazine of the IEIE vol.45. no.9 4 차산업혁명시대의로봇산업 4차산업혁명과로봇산업 소프트로보틱스와피킹기술 4차산업혁명시대의소셜로봇현황과미래전망 드론용구동모듈의기술동향 상지절단자를위한재활보조장치의국내외제품현황및연구동향 www.theieie.org Contents

More information

ISSN 1016-9288 제44권 11호 2017 년 11 월호 The Magazine of the IEIE vol.44. no.11 Artificial Intelligence, Machine Learning 그리고응용시스템 멀티미디어분석을위한기계학습 딥러닝을이용한객체분류및검출기술 뉴로닉 (NeuronlC) 새로운서비스와메모리아키텍처의변화 고성능 BCI(Brain

More information

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원 학번 이름 전공 학년 상담교수 2006312985 곽정헌 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310678 정연우 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310952 이충의 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007311111 강민주 정보통신대학-반도체시스템공학과 (317304) 4

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

ISSN 1016-9288 제44권 8호 2017 년 8 월호 The Magazine of the IEIE vol.44. no.8 딥러닝을포함한인간행동인식최신기술동향 비디오기반행동인식연구동향 지능형자동차를위한야간보행자검출기술 의미론적연관성분석을위한영상정합동향 최근표정인식기술동향 무인비행체임베디드조난자탐지시스템 MPEG-I 표준과 360 도비디오콘텐츠생성 컴퓨터비전및영상처리를위한컬러시스템의이해

More information

감사회보 5월

감사회보 5월 contents 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 동정 및 안내 상장회사감사회 제173차 조찬강연 개최 상장회사감사회 제174차 조찬강연 개최 및 참가 안내 100년 기업을 위한 기업조직의 역 량과 경영리더의 역할의 중요성 등 장수기업의 변화경영을 오랫동안 연구해 온 윤정구 이화여자대학교

More information

°ÇÃà8¿ùÈ£Ãâ·Â

°ÇÃà8¿ùÈ£Ãâ·Â 2010 FIFA WORLD CUP SOUTH AFRICA 2 I I 3 4 I I 5 6 I I 7 8 I I 9 10 I NEWS 2010년 7월 10일(토) 지난 6월 21일 발표된 '제23회 광주광 역시 미술대전' 심사결과 건축 부문의 44점 출품 작품 중, 대상에 김아름, 김 지인, 한강우 팀이 출품한 The line : Time passage 이

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 2호 2018 년 2 월호 The Magazine of the IEIE vol.45. no.2 차세대동영상압축기술 JVET 차세대비디오부호화표준화동향 차세대비디오부호화의블록분할구조 차세대비디오부호화를위한 JVET 인트라예측기술 FVC 기술소개 - JVET Inter Prediction MPEG-FVC 의딥러닝응용비디오압축기술

More information

untitled

untitled 외국인환자유치사업활성화및안전성확보방안행사개요 주최 : 보건복지부 주관 : 한국보건산업진흥원 대상 : 유치기관, 지자체, 외국인환자유치사업관심있는모든분 일정 ( 총 3시간 ) 일시장소대상지역 6.13( 수 ) 14:00 17:00 건양대병원암센터 5 층대강당대전 ( 충청 전라 ) 설명회 ( 4 회 ) 6.14( 목 ) 14:00 17:00 부산국제신문사 4 층중강당부산

More information

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도 전자공학회지 2016.1월호_최종파일_레이아웃 1 16. 01. 25 오후 3:47 페이지 1 ISSN 1016-9288 제43권 1호 2016년 1월호 제 4 3 권 제 1 호 The Magazine of the IEIE vol.43. no.1 ( 2 0 1 6 년 1 월 IoT를 가능하게 하는 반도체 기술 (Semiconductor Technologies

More information

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9 대회명 : 장 소 : 경기장 - 동백체육관 일시 : 2017. 4. 7 ~ 4. 9 Event Total Entry Pool Number Advance Number in a pool Point Qualifier KO Position Individual BC1 - - - - - Individual BC2 - - - - - Individual BC3 43 14

More information

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 세미나자료집 전국초 중 고성교육담당교사워크숍 세미나자료 전국초 중 고성교육담당교사워크숍 일시 2007.12.27( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 워크숍일정표 시간주제사회 10:00-10:20 등록 10:20-10:30 개회사축사 : 신인철과장 ( 교육인적자원부폭력대책팀 ) 10:30-11:10 기조강연 : 학교성교육과교사의역할

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

ISSN 1016-9288 제45권 4호 2018 년 4 월호 The Magazine of the IEIE vol.45. no.4 양자통신과양자컴퓨터기술 양자기술을향한양자물리학의기초개념 양자암호통신개요및기술동향 양자컴퓨터의소개및전망 결함허용양자컴퓨팅연구개발동향 양자정보이론과결함허용양자컴퓨팅 양자알고리즘개발을위한머신러닝기법 www.theieie.org Contents

More information

ISSN 1016-9288 제45권 8호 2018 년 8 월호 The Magazine of the IEIE vol.45. no.8 AR/VR 을위한비전기술및 Deep Learning 최신동향 딥러닝을이용한영상기반 3차원얼굴복원기법의기술동향 시선추적기술의소개 360 도영상을이용한 AR/VR 콘텐츠생성 저용량프로세서를위한딥러닝레이어압축기법과응용 의미적분할을위한약지도기계학습

More information

[NO_11] 의과대학 소식지_OK(P)

[NO_11] 의과대학 소식지_OK(P) 진 의학 지식과 매칭이 되어, 인류의 의학지식의 수준을 높 여가는 것이다. 하지만 딥러닝은 블랙박스와 같은 속성을 가지고 있어서, 우리는 단지 결과만을 알 수 있기 때문에 이런 식의 의학지 식의 확장으로 이어지기는 힘들 수 있다는 것을 의미한다. 이것은 실제로 의학에서는 인공지능을 사용하게 될 때 여러 가지 문제를 만들 수 있다. 뿐만 아니라, 인간이 이해

More information

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference 일 시 2014년 3월 6일 ( 목 ) 14:00-17:20

More information

ISSN 1016-9288 제45권 7호 2018 년 7 월호 The Magazine of the IEIE vol.45. no.7 Neuromorphic 시스템설계기술 뉴로모픽시스템의설계기술과전망 멤리스터소자를활용한뉴로모픽동향연구 확률컴퓨팅기반딥뉴럴넷 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 엣지디바이스를위한뉴로모픽비동기프로세서의설계 www.theieie.org

More information

2010교육프로그램_08-0000

2010교육프로그램_08-0000 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 Contents 나노융합실용화센터 대구기계부품연구원 경북대학교 계명대학교 영진전문대학 영남이공대학 2 4 6 8 18 30 32 36 38 42 3 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 메카트로닉스 및 나노융합 기업지원서비스사업 개요 비 전 교육내용 현장생산인력 및 연구인력의

More information

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o 2019. 05. 18 ::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Team Statistics 2019. 05. 18 15:50 원광대체육관 Referees : Technical officer : MVP : - 원광대학교 조선대학교 22 7 : 12 15 : 12 24 원광대학교 Player Shots Offence

More information

2015 년농협인재육성장학생합격자명단 정렬 : 가나다순 ( 이름 ) 순번 지역 시군 이름 성별 대학교 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여

2015 년농협인재육성장학생합격자명단 정렬 : 가나다순 ( 이름 ) 순번 지역 시군 이름 성별 대학교 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여 강남대학교 6 경남 거창 강인아 여 서울대학교 7 충북 단양 강재영 남 서울대학교 8 전남 순천 강종현 남 농협대학교 9 제주 서귀포 강주영 여 제주대학교 10 전남 순천 강지원 남 조선대학교

More information

1362È£ 1¸é

1362È£ 1¸é www.ex-police.or.kr 2 3 4 5 6 7 시도 경우회 소식 2008年 4月 10日 木曜日 제1362호 전국 지역회 총회 일제 개최 전남영광 경우회 경북구미 경우회 서울양천 경우회 경남마산중부 경우회 경북예천 경우회 서울동대문 경우회 충남연기 경우회 충남예산 경우회 충남홍성 경우회 대전둔산 경우회 충북제천 경우회 서울수서 경우회 부산 참전경찰회(부산진)

More information

경남도립거창대학 5 개년발전전략 GPS 2020( 안 )

경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 2016. 3 GPS 2020 본과업은대학발전 TASK FORCE 팀에 의하여연구되었습니다. 2016. 3 경남도립거창대학 5 개년발전전략 GPS 2020 Ⅰ 1. 8 2. 8 3. 12 4. 13 5. 14 6. 16 7. 17 Ⅱ 1. 20 2. 21 3. 24 4. 25 Ⅲ 1. 28 2. 29

More information

Layout 1

Layout 1 맞맞맞맞맞맞맞 :Layout 1 2014-07-17 맞맞 11:09 Page 1 맞춤형고용 복지전달체계해외사례연구 Ⅰ 연구보고서 2013-08 http://hrd.koreatech.ac.kr 맞춤형고용 복지전달체계해외사례연구 Ⅰ 김주일편저 지은이 김주일 ( 한국기술교육대학교부교수, HRD 연구센터장 ) 정재훈 ( 서울여자대학교교수 ) Sven E. O. Hort(

More information

2015 국민대학교 자체평가보고서

2015 국민대학교 자체평가보고서 2015 국민대학교 자체평가보고서 1 2015 국민대학교 자체평가보고서 국민대학교 개황 대학 현황 우리 대학은 민족 수난기에 조국의 광복운동을 이끌어 온 애국자 해공 신익희 선생을 비롯한 상해 임시정부 요인들이 건국에 필요한 인재를 양성하고자 설립한 해방 후 최초의 사립대학이다. 금년으로 개교 65주년을 맞이한 우리 대학교는 해공 선생의 건학이념과 성곡 선생의

More information

2013 년 Maker's Mark Academy 추석 Program 2013 ~ 2014 년 ACT Test 일정 2013/09/ /10/ /12/ /04/ /6/14 9 월 21 일 ACT 대비추석특강반 ( 이이화 / Jo

2013 년 Maker's Mark Academy 추석 Program 2013 ~ 2014 년 ACT Test 일정 2013/09/ /10/ /12/ /04/ /6/14 9 월 21 일 ACT 대비추석특강반 ( 이이화 / Jo 2013 년 Maker's Mark Academy 추석 Program 2013 ~ 2014 년 ACT Test 일정 2013/09/21 2013/10/26 2013/12/14 2014/04/12 2014/6/14 9 월 21 일 ACT 대비추석특강반 ( 이이화 / John Kang / Chris / Jeanne Choi / 고봉기 ) A 반 / Reading

More information

Untitled-1

Untitled-1 영역별 욕구조사 설문지 예시 자료 3 장애인영역 평택시 사회복지시설 욕구조사 실무도움서 _ 201 202 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 2 3 평택시 사회복지시설 욕구조사 실무도움서 _ 203 204 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 4 평택시 사회복지시설 욕구조사 실무도움서 _ 205 2 3 4 5 6 7

More information

0-학기기말고사시간표 (/) A 강민수 A0 공업수학 강민수 A 임창수 A0 PLC기초및실습 임창수 SW전공 B 정호성 I0 JavaScript실습 (시간) 정호성 A 김휘동 A0 사출금형 김휘동 B 김원욱 A0 공업수학 강민수 B 김동근 A0 PLC기초및실습 임창수

0-학기기말고사시간표 (/) A 강민수 A0 공업수학 강민수 A 임창수 A0 PLC기초및실습 임창수 SW전공 B 정호성 I0 JavaScript실습 (시간) 정호성 A 김휘동 A0 사출금형 김휘동 B 김원욱 A0 공업수학 강민수 B 김동근 A0 PLC기초및실습 임창수 0-학기기말고사시간표 (/) A 노기준 A0 의사소통능력 노기준 A 하종근 A0 설계제도응용 김종겸 A 최병오 S0 산업디자인기초 (시간) 최병오 SW전공 A 강돈형 I0 대인관계와직업윤리 강돈형 A 정대성 A0 전기기기실험 정대성 A 김종겸 A0 기계제도 김종겸 B 김성진 A0 의사소통능력 노기준 B 이상규 A0 설계제도응용 김종겸 EV전공 B 홍종탁 A0

More information

한국비파괴검사학회 2013년 춘계학술대회 2013 Annual Spring Conference of Korean Society for Nondestructive Testing PROGRAM BOOK 2013. 5. 30~31 여수 디오션리조트 후원: 한국과학기술단체총연합회 전남대학교 설비진단 설계엔지니어링 기술연구소 - 2013. 5. 30(목) - 2013.

More information

< C7D0B3E2B5B C0B6C7D520C7D0BDC0B5BFBEC6B8AE2031C2F720BCB1C1A4C0DA20B8EDB4DC2E786C7378>

< C7D0B3E2B5B C0B6C7D520C7D0BDC0B5BFBEC6B8AE2031C2F720BCB1C1A4C0DA20B8EDB4DC2E786C7378> 2018 학년도 IT 융합학습동아리 1 차선정자명단 순번 팀명 지도교수 번호 학번 학과 학년 구분 지원금액 비고 1 20141935 컴퓨터공학과 4 대표학생 1 하드스플로잇 이현빈 2 20131689 컴퓨터공학과 4 구성원 3 20141901 컴퓨터공학과 4 구성원 4 20141928 컴퓨터공학과 3 구성원 5 20121509 전기공학과 4 대표학생 2 취목

More information

숙소 ( 파인동 17평, 메이플동 21평, 파인동 26평, 메이플동 32평, 노블리안동 56평 ) 에상관없이모두메이플동 2층루비홀에서객실키수령! 등록객실예약자명숙박숙소 ( 등록자 / 대표자 ) 53 고대 * 한양대학교 단체등록 곽호 * 파인동 26평 2박 2월 1일 (

숙소 ( 파인동 17평, 메이플동 21평, 파인동 26평, 메이플동 32평, 노블리안동 56평 ) 에상관없이모두메이플동 2층루비홀에서객실키수령! 등록객실예약자명숙박숙소 ( 등록자 / 대표자 ) 53 고대 * 한양대학교 단체등록 곽호 * 파인동 26평 2박 2월 1일 ( 숙소 ( 파인동 17평, 메이플동 21평, 파인동 26평, 메이플동 32평, 노블리안동 56평 ) 에상관없이모두메이플동 2층루비홀에서객실키수령! 등록객실예약자명숙박숙소 ( 등록자 / 대표자 ) 1 강상 * 국립농업과학원 단체등록 강상 * 파인동 17평 2박 2월 1일 ( 월 ) ~ 3일 ( 수 ) 2 강세 * 연세대학교치과대학구강과학연구소 단체등록 강세 *

More information

2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 아직 2015년도 회비를 납부하지 않으신 회원님께서는 속히 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해년도에 한합니다. 평생회원님

2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 아직 2015년도 회비를 납부하지 않으신 회원님께서는 속히 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해년도에 한합니다. 평생회원님 전자공학회지 2015.2월호 _레이아웃 1 15. 02. 13 오후 2:56 페이지 1 ISSN 1016-9288 제42권 2호 2015년 2월호 The Magazine of the IEIE 제 4 2 권 제 2 호 ( 2 0 1 5 년 2 월 ) 바이오 신호 측정 및 처리 国內唯一의 日本 工学. 産業 技術情報図書館 vol.42. no.2 바이오 신호 측정

More information

직접비에비례하여간접비가발생하지않는비목의일부를제외하거나 상한을설정하여산정한수정직접비에별표 의해당기관별간접비 비율을곱한금액 별표 의해당기관별간접비비율에 이하의조정비율을적용하여 산정한조정간접비비율을직접비에곱한금액 별표 의해당기관별간접비비율에직접비규모별차별적인조정비율을 적용하

직접비에비례하여간접비가발생하지않는비목의일부를제외하거나 상한을설정하여산정한수정직접비에별표 의해당기관별간접비 비율을곱한금액 별표 의해당기관별간접비비율에 이하의조정비율을적용하여 산정한조정간접비비율을직접비에곱한금액 별표 의해당기관별간접비비율에직접비규모별차별적인조정비율을 적용하 국가연구개발사업기관별간접비계상기준 안 미래창조과학부고시제 호 국가연구개발사업의관리등에관한규정 제 조제 항에따라중앙 행정기관의장이지급하는연구개발비중간접비에대한계상기준을다음과같이고시합니다 년 월 일 미래창조과학부장관 국가연구개발사업기관별간접비계상기준 제 조 목적 이기준은 국가연구개발사업의관리등에관한규정 제 조 제 항에따른간접비중동규정제 조제 항에따라중앙행정기관의

More information

11+12¿ùÈ£-ÃÖÁ¾

11+12¿ùÈ£-ÃÖÁ¾ Korea Institute of Industrial Technology 2007:11+12 2007:11+12 Korea Institute of Industrial Technology Theme Contents 04 Biz & Tech 14 People & Tech 30 Fun & Tech 44 06 2007 : 11+12 07 08 2007 : 11+12

More information

ISSN 1016-9288 제41권 10호 2014년 10월호 The Magazine of the IEIE vol.41. no.10 HEVC 기반 확장 비디오 부호화 표준 기술 HEVC 기술 소개 Ⅰ HEVC 기술 소개 Ⅱ HEVC Range Extension 표준 기술 HEVC 3D Extension 표준 기술 HEVC Scalable Extension(SHVC)

More information

?

? 2015. MAY VOL. 123 IBK Economic Research Institute CONTENTS 2015. May vol.123 M MANAGEMENT LOUNGE 022 024 026 028 E ECONOMY LOUNGE 030 034 036 038 C CEO LOUNGE 044 042 046 BUSINESS MANUAL 014 016 020 B

More information

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf 2015 학년도 논술 가이드북 K Y U N G H E E U N I V E R S I T Y 2015 학년도수시모집논술우수자전형 1. 전형일정 논술고사 구분 일정 원서접수 2014. 9. 11( 목 ) 10:00 ~ 15( 월 ) 17:00 고사장확인 2014. 11. 12( 수 ) 전형일 2014. 11. 15( 토 ) ~ 16( 일 ) 합격자발표 2014.

More information

나하나로 5호

나하나로 5호 Vol 3, No. 1, June, 2009 Korean Association of CardioPulmonary Resuscitation Korean Association of CardioPulmonary Resuscitation(KACPR) Newsletter 01 02 03 04 05 2 3 4 대한심폐소생협회 소식 교육위원회 소식 일반인(초등학생/가족)을

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

国 內 唯 一 日 本 工 学. 産 業 技 術 情 報 図 書 館

国 內 唯 一 日 本 工 学. 産 業 技 術 情 報 図 書 館 ISSN 1016-9288 제42권 6호 2015년 6월호 The Magazine of the IEIE vol.42. no.6 웨어러블 스마트 디바이스 및 응용 웨어러블 스마트 기기 표준화 추진 방향 웨어러블 스마트 디바이스의 기술 동향, 발전 및 국제 표준화 전망 웨어러블 스마트 디바이스 구현을 위한 집적 회로 기술 웨어러블 컴퓨팅 네트워크 및 미들웨어 기술

More information

청년, 사회초년 계층의 희망주거 두번째 이야기 2014 09.18 THU 13:30-17:30 한양대학교(서울) 신소재공학관 세미나실 6층 주최 주관 청년, 사회초년계층의 희망주거 Contents 주제발표 주제 1 : 청년주거실태와 협동형 주거모델 5 - 민달팽이주택 사례를 중심으로 권지용 (민달팽이유니온 대표) 주제 2 : 혼자이지만 함께 사는 집 23

More information

untitled

untitled 像 氣 名 刹 希 望 曰 術 技 藝 道 技 年 月 日 0 5, 1 2 3 4 5 45,000 40,000 39,534 35,000 30,000

More information

0-학기기말고사시간표 (/) 전공 A 영어회화 Eric A 설계제도응용 김종겸 EV전공 A 디지털공학및실험 김성진 A 디지털공학및실험 김성진 A 기계제도 김종겸 B 영어회화 Eric B 설계제도응용 김종겸 EV전공 B 디지털공학및실험 김성진 B 디지털공학및실험 김성진

0-학기기말고사시간표 (/) 전공 A 영어회화 Eric A 설계제도응용 김종겸 EV전공 A 디지털공학및실험 김성진 A 디지털공학및실험 김성진 A 기계제도 김종겸 B 영어회화 Eric B 설계제도응용 김종겸 EV전공 B 디지털공학및실험 김성진 B 디지털공학및실험 김성진 0-학기기말고사시간표 (/) 전공 A 논리회로및실험 김원욱 A 프레스금형 이경락 EV전공 A 전기기기 정대성 A CAM(시간 ) 이용철 B 논리회로및실험 임경수 B 프레스금형 이경락 EV전공 A 전력전자 정승훈 D 논리회로및실험 임경수 C 프레스금형 이경락 SW전공 A 빅데이터개론 이덕기 E 논리회로및실험 김원욱 D CNC및가공실습 하선권 EV전공 B 전력전자

More information

한국건설산업연구원연구위원 김 현 아 연구위원 허 윤 경 연구원 엄 근 용

한국건설산업연구원연구위원 김 현 아 연구위원 허 윤 경 연구원 엄 근 용 한국건설산업연구원연구위원 김 현 아 연구위원 허 윤 경 연구원 엄 근 용 (%) 10.0 5.0 0.0-5.0-10.0 0.6 1.0 0.3 2.9 0.7 1.3 9.0 5.6 5.0 3.9 3.4 3.9 0.0 95 90-0.3 85 변동률 지수 65-15.0-13.6 60 '95 '96 '97 '98 '99 '00 '01 '02 '03 '04 '05 '06

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

hwp

hwp 자격제도의비전과발전방안 요약 i ii 요약 요약 iii iv 요약 요약 v vi 요약 요약 vii viii 요약 요약 ix x 요약 요약 xi xii 요약 요약 xiii xiv 요약 요약 xv xvi 요약 요약 xvii xviii 요약 요약 xix xx 요약 제 1 장서론 1 2 자격제도의비전과발전방안 제 1 장서론 3 4 자격제도의비전과발전방안

More information

전기차보급활성화포럼 전기차보급정책방향ㅣ 211

전기차보급활성화포럼 전기차보급정책방향ㅣ 211 전기차보급정책방향 에너지관리공단부장 l 박성우 전기차보급활성화포럼 전기차보급정책방향ㅣ 211 전기차보급활성화포럼 212 ㅣ 전기차보급정책방향ㅣ 213 전기차보급활성화포럼 214 ㅣ 전기차보급정책방향ㅣ 215 전기차보급활성화포럼 216 ㅣ 전기차보급정책방향ㅣ 217 전기차보급활성화포럼 218 ㅣ 전기차보급정책방향ㅣ 219 전기차보급활성화포럼 220 ㅣ 전기차보급정책방향ㅣ

More information

1 제 26 장 사회간접자본의확충

1 제 26 장 사회간접자본의확충 삼성경제연구소 1 제 26 장 사회간접자본의확충 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 제 27 장 대형국책과제의추진 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56

More information

173

173 방송기자저널 한국방송기자클럽 발행인 엄효섭 편집인 김벽수 월간 발행처 2013 8August 1990년 6월 20일 창간 서울시 양천구 목1동 923-5 방송회관 12층 T. 02) 782-0002,1881 F. 02) 761-8283 www.kbjc.net 제173호 Contents 02 방송이슈 현오석 부총리 겸 기획재정부 장관 초청 토론회 한국방송대상

More information

**문화정보화백서1부

**문화정보화백서1부 2010 Korea Culture Informatization White Paper 책의 편집에 대하여 구성과 내용 백서는 크게 3부와 부록으로 구성되어 있다. 1부 총론, 2부 분야별 추진실태, 3부 문화정보화 추진관련 자료, 부록 문화정보화 트랜드를 담고 있다. 제1부 총론에서는 문화정보화의 의미, 필요성, 추진체계와 문화정보화 현황, 비전 및 발전방향에

More information