Size: px
Start display at page:

Download ""

Transcription

1 ISSN 제43권 10호 2016 년 10 월호 The Magazine of the IEIE vol.43. no.10 압축센싱을활용한통신및레이더신호처리 압축센싱기술을적용한초광대역수신기개발동향 압축센싱과광대역레이더신호획득기술 실리콘공정기술기반초고속압축센싱용수신기의집적화구현연구 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구형 탐색레이더에서의압축센싱기술기반 ISAR 영상획득기법 차량용레이더의기술발전과동향

2

3

4 채용분야 Display Analog 설계 응시자격 Analog 설계회로경력자 (2 년이상 ) Display Driver IC 개발경력자 (2 년이상 ) RF IC 개발신입 ( 관련전공자 )/ 경력자 전문연구요원 Display Digital 설계 Display IC(T-con) 개발신입 / 경력자 (2 년이상 ) 신호처리개발 디바이스 FW 개발 신호처리관련 / 생체신호처리석사이상 관련경력 1 년이상자우대 전자 / 전산 / 컴퓨터계열학사이상 관련경력 2 년이상자우대

5 IEIE SPC 한국연구재단등재후보지로선정 2012년에창간하여격월로발행하는우리학회영문학술지 SPC 영문논문지 (IEIE Transactions on Smart Processing and Computing) 가지난 8월한국연구재단등재후보지로선정되었다. 이로써한국연구재단에등재된논문지로는전자공학회논문지 (2000년), JSTS(Journal of Semiconductor Technology and Science, 2009년 ) 에이어세번째등재지가된다. 논문에관한내용은홈페이지 에서확인가능하며, 논문투고분야로는 Smart Signal Processing, Smart Wireless Communications, Smart Computing, SoC 분야로구성되어있다. 문의처 ( 내선 4), inter@theieie.org

6

7

8

9 Contents 제 43 권 10 호 (2016 년 10 월 ) 학회소식 12 학회소식 / 편집부 14 학회일지 15 금속 3D 프린팅설계기술동향 / 변기영, 이창우, 김건희, 김형균, 이병수 23 특집편집기 / 이흥노 특집 : 압축센싱을활용한통신및레이더신호처리 24 압축센싱기술을적용한초광대역수신기개발동향 / 강종진, 강희석, 안우현 학회지 10 월호표지 (vol 43. No 10) 회지편집위원회 위원장황인철 ( 강원대학교교수 ) 위원김동규 ( 한양대학교교수 ) 김문철 ( 한국과학기술원교수 ) 김수찬 ( 한경대학교교수 ) 김시호 ( 연세대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김재현 ( 아주대학교교수 ) 김정태 ( 이화여자대학교교수 ) 김현 ( 부천대학교교수 ) 남기창 ( 동국대학교교수 ) 박승영 ( 강원대학교교수 ) 백동현 ( 중앙대학교교수 ) 송민규 ( 동국대학교교수 ) 신종원 ( 광주과학기술원교수 ) 유명식 ( 숭실대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 전병태 ( 한경대학교교수 ) 조제광 (LG 전자책임연구원 ) 진훈 ( 경기대학교교수 ) 채관엽 ( 삼성전자수석연구원 ) 한완옥 ( 여주대학교교수 ) 사무국편집담당변은정과장 ( 내선 3) TEL : (02) ( 대 ) FAX : (02) 학회홈페이지 29 압축센싱과광대역레이더신호획득기술 / 박정, 장재혁, 이흥노 35 실리콘공정기술기반초고속압축센싱수신기의집적화연구 / 박정동, 박준식 43 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구현 / 서근수, 윤의현, 이민재 49 탐색레이더에서의압축센싱기술기반 ISAR 영상획득기법 / 김형주, 송원영, 명로훈 55 차량용레이더의기술발전과동향 / 고석준 61 논문지논문목차 63 박사학위논문 64 신간안내 정보교차로 65 국내외학술행사안내 / 편집부 73 특별회원사, 단체회원

10 The Magazine of the IEIE 2016 년도임원및각위원회위원 회 장 구용서 ( 단국대학교교수 ) - 총괄 수석부회장홍대식 ( 연세대학교교수 ) - 총괄 고 문 구원모 ( 전자신문사대표이사 ) 김기남 ( 삼성전자 ( 주 ) 사장 ) 박청원 ( 전자부품연구원원장 ) 백만기 ( 김 & 장법률사무소변리사 ) 양웅철 ( 현대자동차 부회장 ) 이상훈 ( 한국전자통신연구원원장 ) 이재욱 ( 노키아티엠씨명예회장 ) 이희국 ( LG 상근고문 ) 천경준 ( 씨젠회장 ) 감 사 이필중 ( 포항공과대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 부 회 장 박홍준 ( 포항공과대학교교수 ) - 회원, 지부, 표준화 백준기 ( 중앙대학교교수 ) - 하계, 영문논문편집, 회지편집, 국제협력 안승권 (LG 전자 사장 ) - 산학연 임혜숙 ( 이화여자대학교교수 ) - 사업, 추계, 재무 최천원 ( 단국대학교교수 ) - 기획, 국문논문편집, 교육 / 홍보 소사이어티회장 안현식 ( 동명대학교교수 ) - 컴퓨터소사이어티 오승록 ( 단국대학교교수 ) - 시스템및제어소사이어티 원영진 ( 부천대학교교수 ) - 산업전자소사이어티 이재진 ( 숭실대학교교수 ) - 통신소사이어티 전영현 ( 삼성전자 사장 ) - 반도체소사이어티 조남익 ( 서울대학교교수 ) - 신호처리소사이어티 산업체부회장 김창용 ( 삼성전자 DMC연구소장 ) 박성욱 (SK하이닉스 대표이사 ) 협동부회장김기호 ( 삼성전자 부사장 ) 김달수 ( 티엘아이대표이사 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원단장 ) 김수원 ( 고려대학교교수 ) 김종대 ( 한국전자통신연구원소장 ) 김철동 ( 세원텔레텍대표이사 ) 남상엽 ( 국제대학교교수 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박형무 ( 동국대학교교수 ) 서승우 ( 서울대학교교수 ) - 사업 성하경 ( 전자부품연구원선임연구본부장 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 유현규 ( 한국전자통신연구원박사 ) 유회준 ( 한국과학기술원교수 ) 윤기방 ( 인천대학교교수 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이승훈 ( 서강대학교교수 ) 이윤종 ( 동부하이텍부사장 ) 이재훈 ( 유정시스템 사장 ) 장태규 ( 중앙대학교교수 ) 전성호 ( 솔루엠대표이사 ) 정 준 ( 쏠리드대표이사 ) 정은승 ( 삼성전자 부사장 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 조상복 ( 울산대학교교수 ) 최승원 ( 한양대학교교수 ) 한대근 ( 실리콘웍스대표이사 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 호요성 ( 광주과학기술원교수 ) 상임이사 공준진 ( 삼성전자 마스터 ) - 국제협력 김선욱 ( 고려대학교교수 ) - 학술 ( 하계 ) 김종옥 ( 고려대학교교수 ) - 회원 / 정보화 박종일 ( 한양대학교교수 ) - 사업 백광현 ( 중앙대학교교수 ) - 총무 범진욱 ( 서강대학교교수 ) - 사업 심동규 ( 광운대학교교수 ) - SPC 엄낙웅 ( 한국전자통신연구원소장 ) - 산학연 유창동 ( 한국과학기술원교수 ) - 사업 윤일구 ( 연세대학교교수 ) - 교육 / 홍보 이충용 ( 연세대학교교수 ) - 재무 이혁재 ( 서울대학교교수 ) - 논문편집 이흥노 ( 광주과학기술원교수 ) - 기획 최중호 ( 서울시립대학교교수 ) - 학술 ( 추계 ) 홍용택 ( 서울대학교교수 ) - 표준화 황인철 ( 강원대학교교수 ) - 회지편집 산업체이사고요환 ( 매그나칩반도체전무 ) 김보은 ( 라온텍사장 ) 김진선 (SK이노베이션전무 ) 김태진 ( 더즈텍사장 ) 김현수 ( 삼성전자 상무 ) 민경오 (LG 전자 부사장 ) 박동일 ( 현대자동차 전무 ) 손광준 ( 산업통상자원부 PD) 송창현 ( 네이버 CTO) 오의열 (LG 디스플레이 연구위원 ) 윤영권 ( 삼성전자 마스터 ) 정원영 ( 다우인큐브전무 ) 정한욱 ( MOS 강남대표이사 ) 조영민 ( 스카이크로스코리아사장 ) 조재문 ( 삼성전자 전무 ) 차종범 ( 구미전자정보기술원원장 ) 최승종 (LG 전자 전무 ) 최정아 ( 삼성전자 전무 ) 최진성 (Sk텔레콤전무 ) 함철희 ( 삼성전자 마스터 ) 홍국태 (LG 전자 연구위원 ) 이 사 강문식 ( 강릉원주대학교교수 ) - 학술 ( 하계 ) 공배선 ( 성균관대학교교수 ) - ICCE 권기원 ( 성균관대학교교수 ) - 국제협력 권종기 ( 한국전자통신연구원책임연구원 ) - 사업 권혁인 ( 중앙대학교교수 ) - 총무 김대환 ( 국민대학교교수 ) - 표준화 김동규 ( 한양대학교교수 ) - 회지편집 김동식 ( 인하공업전문대학교수 ) - 사업

11 김문철 ( 한국과학기술원교수 ) - 회지편집 김성호 ( 한국산업기술평가관리원실장 ) - 학술 ( 하계 ) 김승천 ( 한성대학교교수 ) - 기획 김용석 ( 성균관대학교교수 ) - 학술 ( 추계 ) 김용신 ( 고려대학교교수 ) - 총무 김원종 ( 한국전자통신연구원책임연구원 ) - 표준화 김창익 ( 한국과학기술원교수 ) - 사업 노원우 ( 연세대학교교수 ) - 논문편집 노태문 ( 한국전자통신연구원책임연구원 ) - 학술 ( 하계 ) 동성수 ( 용인송담대학교교수 ) - 회원 / 정보화 문 용 ( 숭실대학교교수 ) - 논문편집 민경식 ( 국민대학교교수 ) - 학술 ( 추계 ) 박현창 ( 동국대학교교수 ) - 사업 변영재 ( 울산과학기술대학교교수 ) - 학술 ( 추계 ) 성해경 ( 한양여자대학교교수 ) - 교육 / 홍보 송민규 ( 동국대학교교수 ) - 회지편집 송상헌 ( 중앙대학교교수 ) - 기획 송용호 ( 한양대학교교수 ) - 학술 ( 추계 ) 심정연 ( 강남대학교교수 ) - 총무 예종철 ( 한국과학기술원교수 ) - 논문편집 유윤섭 ( 한경대학교교수 ) - 회원 / 정보화 윤석현 ( 단국대학교교수 ) - 기획 이광엽 ( 서경대학교교수 ) - 산학연 / 학술 ( 추계 ) 이병근 ( 광주과학기술원교수 ) - 회지편집 이병선 ( 김포대학교교수 ) - 교육 / 홍보 이상근 ( 중앙대학교교수 ) - SPC 이성수 ( 숭실대학교교수 ) - 기획 이승호 ( 한밭대학교교수 ) - 회지편집 이용식 ( 연세대학교교수 ) - 교육 / 홍보 이윤식 ( 울산과학기술대학교교수 ) - 교육 / 홍보 이찬호 ( 숭실대학교교수 ) - 산학연 이한호 ( 인하대학교교수 ) - 국제협력 이호진 ( 숭실대학교교수 ) - 표준화 인치호 ( 세명대학교교수 ) - 논문편집 임기택 ( 전자부품연구원센터장 ) - 사업 정영모 ( 한성대학교교수 ) - 논문편집 정의영 ( 연세대학교교수 ) - ICCE 조성현 ( 한양대학교교수 ) - 국제협력 조성환 ( 한국과학기술원교수 ) - ICCE 최강선 ( 한국기술교육대학교교수 ) - 학술 ( 하계 )/ SPC 최병호 ( 전자부품연구원센터장 ) - 산학연 최수용 ( 연세대학교교수 ) - 재무 최용수 ( 성결대학교교수 ) - 논문편집 한재호 ( 고려대학교교수 ) - 회원 / 정보화 한종기 ( 세종대학교교수 ) - 학술 ( 추계 ) 한태희 ( 성균관대학교교수 ) - 교육 / 홍보 허재두 ( 한국전자통신연구원실장 ) - ICCE 현경숙 ( 세종대학교교수 ) - 논문편집 협동이사 강석형 ( 울산과학기술대학교교수 ) - 교육 / 홍보 고윤호 ( 충남대학교교수 ) - 산학연 권호열 ( 강원대학교교수 ) - 학술 ( 하계 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) - 사업 김 현 ( 부천대학교교수 ) - 회지 김남용 ( 강원대학교교수 ) - 회원 김동순 ( 전자부품연구원박사 ) - 학술 ( 하계 ) 김소영 ( 성균관대학교교수 ) - 학술 ( 추계 ) 김승구 ( 충북대학교교수 ) - 회원 / 정보화 김영진 ( 한국항공대학교교수 ) - 회지 김영희 ( 창원대학교교수 ) - 회원 김윤희 ( 경희대학교교수 ) - 재무 김준모 ( 한국과학기술원교수 ) - 사업 김지훈 ( 서울과학기술대학교교수 ) - 학술 ( 추계 ) 김창수 ( 고려대학교교수 ) - SPC 김태원 ( 상지영서대학교교수 ) - 기획 남대경 ( 전자부품연구원선임연구원 ) - 사업 노정진 ( 한양대학교교수 ) - 회원 / 정보화 류수정 ( 삼성전자 ( 주 ) 상무 ) - 국제협력 박기찬 ( 건국대학교교수 ) - 표준화 박승영 ( 강원대학교교수 ) - 회지 박재형 ( 전남대학교교수 ) - 회원 박주현 ( 픽셀플러스실장 ) - 산학연 박준희 ( 이화여자대학교교수 ) - 기획 박천수 ( 세종대학교교수 ) - 회원 / 정보화 변대석 ( 삼성전자 ( 주 ) 마스터 ) - 국제협력 변철우 ( 원광대학교교수 ) - 회원 서진수 ( 강릉원주대학교교수 ) - 사업 서춘원 ( 김포대학교교수 ) - 사업 선우경 ( 이화여자대학교교수 ) - 교육 / 홍보 송병철 ( 인하대학교교수 ) - 학술 ( 하계 ) 신종원 ( 광주과학기술원교수 ) - 기획 안길초 ( 서강대학교교수 ) - 사업 연규봉 ( 자동차부품연구원팀장 ) - 표준화 유경식 ( 한국과학기술원교수 ) - 학술 ( 하계 ) 이가원 ( 충남대학교교수 ) - 논문 이강윤 ( 성균관대학교교수 ) - 학술 ( 추계 ) 이기성 ( 고려대학교교수 ) - ICEIC 이문구 ( 김포대학교교수 ) - 회원 / 정보화 이민영 ( 반도체산업협회본부장 ) - 산학연 이석필 ( 상명대학교교수 ) - 교육 / 홍보 이용구 ( 한림성심대학교교수 ) - 논문 이창우 ( 가톨릭대학교교수 ) - 기획 이채은 ( 인하대학교교수 ) - 논문 장길진 ( 경북대학교교수 ) - 사업 장익준 ( 경희대학교교수 ) - 논문 전문구 ( 광주과학기술원교수 ) - 기획 전병태 ( 한경대학교교수 ) - 회지 전준표 ( 한국산업기술평가관리원책임연구원 ) - 학술 ( 추계 ) 정용규 ( 을지대학교교수 ) - 기획 정윤호 ( 한국항공대학교교수 ) - 논문 조명진 ( 네이버박사 ) - 학술 ( 하계 ) 조수현 ( 홍익대학교교수 ) - 총무 최병덕 ( 한양대학교교수 ) - 표준화 최윤경 ( 삼성전자 ( 주 ) 마스터 ) - 총무 한영선 ( 경일대학교교수 ) - 학술 ( 하계 ) 한완옥 ( 여주대학교교수 ) - 회지 지부장명단 강원지부 임해진 ( 강원대학교교수 ) 광주 전남지부 이배호 ( 전남대학교교수 ) 대구 경북지부 박정일 ( 영남대학교교수 ) 대전 충남지부 이희덕 ( 충남대학교교수 ) 부산 경남 울산지부 이장명 ( 부산대학교교수 ) 전북지부 조경주 ( 원광대학교교수 ) 제주지부 강민제 ( 제주대학교교수 ) 충북지부 최영규 ( 한국교통대학교교수 ) 호서지부 장은영 ( 공주대학교교수 ) 일본지부 백인천 (AIZU대학교교수 ) 미국지부 최명준 ( 텔레다인박사 )

12 The Magazine of the IEIE 자문위원회 위원회명단 위 원 장 박진옥 ( 명예회장 ) 부위원장 김영권 ( 명예회장 ) 위 원 고성제 ( 고려대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김성대 ( 한국과학기술원교수 ) 김수중 ( 명예회장 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 나정웅 ( 명예회장 ) 문영식 ( 한양대학교교수 ) 박규태 ( 명예회장 ) 박병국 ( 서울대학교교수 ) 박성한 ( 명예회장 ) 박항구 ( 소암시스텔회장 ) 변증남 ( 명예회장 ) 서정욱 ( 명예회장 ) 성굉모 ( 서울대학교명예교수 ) 윤종용 ( 삼성전자비상임고문 ) 이문기 ( 명예회장 ) 이상설 ( 명예회장 ) 이재홍 ( 서울대학교교수 ) 이진구 ( 동국대학교석좌교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 임제탁 ( 명예회장 ) 전국진 ( 서울대학교교수 ) 전홍태 ( 중앙대학교교수 ) 정정화 ( 한양대학교석좌교수 ) 홍승홍 ( 명예회장 ) 기획위원회 위 원 장 이흥노 ( 광주과학기술원교수 ) 위 원 김승천 ( 한성대학교교수 ) 김태원 ( 상지영서대학교교수 ) 박인규 ( 인하대학교교수 ) 박준희 ( 이화여자대학교교수 ) 박현창 ( 동국대학교교수 ) 송상헌 ( 중앙대학교교수 ) 신종원 ( 광주과학기술원교수 ) 윤석현 ( 단국대학교교수 ) 이병선 ( 김포대학교교수 ) 이성수 ( 숭실대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 정용규 ( 을지대학교교수 ) 최준원 ( 한양대학교교수 ) 학술연구위원회 위 원 장 김선욱 ( 고려대학교교수 ) - 하계 최중호 ( 서울시립대학교교수 ) - 추계 위 원 강문식 ( 강릉원주대학교교수 ) 구형일 ( 아주대학교교수 ) 권호열 ( 강원대학교교수 ) 김경연 ( 제주대학교교수 ) 김동순 ( 전자부품연구원박사 ) 김성호 ( 한국산업기술평가관리원실장 ) 김영로 ( 명지전문대학교수 ) 김용권 ( 건양대학교교수 ) 김용신 ( 고려대학교교수 ) 김종옥 ( 고려대학교교수 ) 김철우 ( 고려대학교교수 ) 노원우 ( 연세대학교교수 ) 노태문 ( 한국전자통신연구원실장 ) 박상윤 ( 명지대학교교수 ) 박재홍 ( 서울대학교교수 ) 변영재 ( 울산과학기술대학교교수 ) 서성규 ( 고려대학교교수 ) 송병철 ( 인하대학교교수 ) 유경식 ( 한국과학기술원교수 ) 윤일구 ( 연세대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이용구 ( 한림성심대학교교수 ) 이채은 ( 인하대학교교수 ) 이흥노 ( 광주과학기술원교수 ) 정승원 ( 동국대학교교수 ) 제민규 ( 한국과학기술원교수 ) 조명진 ( 네이버박사 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 한영선 ( 경일대학교교수 ) 한완옥 ( 여주대학교교수 ) 황인철 ( 강원대학교교수 ) 논문편집위원회 위 원 장 이혁재 ( 서울대학교교수 ) 위 원 김경기 ( 대구대학교교수 ) 김지훈 ( 서울과학기술대학교교수 ) 김진성 ( 선문대학교교수 ) 노원우 ( 연세대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 성해경 ( 한양여자대학교교수 ) 유명식 ( 숭실대학교교수 ) 윤석현 ( 단국대학교교수 ) 이상근 ( 중앙대학교교수 ) 이수열 ( 경희대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이재성 ( 교통대학교교수 ) 이채은 ( 인하대학교교수 ) 장익준 ( 경희대학교교수 ) 정윤호 ( 한국항공대학교교수 ) 최용수 ( 성결대학교교수 ) 한태희 ( 성균관대학교교수 ) 홍민철 ( 숭실대학교교수 ) 국제협력위원회 위 원 장 공준진 ( 삼성전자마스터 ) 위 원 권기원 ( 성균관대학교교수 ) 김 훈 (Synopsys Korea 이사 ) 김준모 ( 한국과학기술원교수 ) 남병규 ( 충남대학교교수 ) 류수정 ( 삼성전자상무 ) 류현석 ( 삼성전자마스터 ) 박성정 ( 건국대학교교수 ) 변대석 ( 삼성전자마스터 ) 신원용 ( 단국대학교교수 ) 유경동 (SK하이닉스상무 ) 이영주 ( 광운대학교교수 ) 이한호 ( 인하대학교교수 ) 장익준 ( 경희대학교교수 ) 정재웅 (Atto Research CEO) 정진섭 (Innowireless SVP) 조상연 ( 삼성전자상무 ) 조성현 ( 한양대학교교수 ) 최우영 ( 연세대학교교수 ) 산학연협동위원회 위원장엄낙웅 ( 한국전자통신연구원소장 ) 위원고윤호 ( 충남대학교교수 ) 김현 ( 부천대학교교수 ) 김수환 ( 서울대학교교수 ) 남병규 ( 충남대학교교수 ) 박주현 ( 픽셀플러스실장 ) 방극준 ( 인덕대학교교수 ) 이광엽 ( 서경대학교교수 ) 이민영 ( 한국반도체산업협회본부장 ) 이정석 ( 인하공업전문대학교수 ) 이창석 ( 한밭대학교교수 ) 이한호 ( 인하대학교교수 ) 제민규 ( 한국과학기술원교수 ) 차철웅 ( 전자부품연구원책임연구원 ) 최병호 ( 전자부품연구원센터장 ) 한태희 ( 성균관대학교교수 )

13 회원관리위원회 위 원 장 김종옥 ( 고려대학교교수 ) 위 원 권구락 ( 조선대학교교수 ) 김승구 ( 충북대학교교수 ) 김용신 ( 고려대학교교수 ) 김창수 ( 고려대학교교수 ) 박천수 ( 세종대학교교수 ) 유윤섭 ( 한경대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 한재호 ( 고려대학교교수 ) 회지편집위원회 위 원 장 황인철 ( 강원대학교교수 ) 위 원 김동규 ( 한양대학교교수 ) 김문철 ( 한국과학기술원교수 ) 김수찬 ( 한경대학교교수 ) 김시호 ( 연세대학교교수 ) 김영진 ( 한국항공대학교교수 ) 김재현 ( 아주대학교교수 ) 김정태 ( 이화여자대학교교수 ) 김 현 ( 부천대학교교수 ) 남기창 ( 동국대학교교수 ) 박승영 ( 강원대학교교수 ) 백동현 ( 중앙대학교교수 ) 송민규 ( 동국대학교교수 ) 신종원 ( 광주과학기술원교수 ) 유명식 ( 숭실대학교교수 ) 이병근 ( 광주과학기술원교수 ) 이승호 ( 한밭대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 전병태 ( 한경대학교교수 ) 조제광 (LG 전자책임연구원 ) 진 훈 ( 경기대학교교수 ) 채관엽 ( 삼성전자수석연구원 ) 한완옥 ( 여주대학교교수 ) 사업위원회 위 원 장 박종일 ( 한양대학교교수 ) 범진욱 ( 서강대학교교수 ) 유창동 ( 한국과학기술원교수 ) 위 원 고균병 ( 한국교통대학교교수 ) 고중혁 ( 중앙대학교교수 ) 권종기 ( 한국전자통신연구원책임연구원 ) 권혁인 ( 중앙대학교교수 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) 김동식 ( 인하공업전문대학교수 ) 김용신 ( 고려대학교교수 ) 김종선 ( 홍익대학교교수 ) 김종옥 ( 고려대학교교수 ) 김준모 ( 한국과학기술원교수 ) 김창익 ( 한국과학기술원교수 ) 김형탁 ( 홍익대학교교수 ) 남대경 ( 전자부품연구원팀장 ) 노원우 ( 연세대학교교수 ) 노정진 ( 한양대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 문현욱 ( 동원대학교교수 ) 민경식 ( 국민대학교교수 ) 박강령 ( 동국대학교교수 ) 박정욱 ( 연세대학교교수 ) 박현창 ( 동국대학교교수 ) 서인식 ( 라이트웍스대표이사 ) 서진수 ( 강릉원주대학교교수 ) 서춘원 ( 김포대학교교수 ) 송용호 ( 한양대학교교수 ) 신현출 ( 숭실대학교교수 ) 심동규 ( 광운대학교교수 ) 안길초 ( 서강대학교교수 ) 윤일구 ( 연세대학교교수 ) 윤재철 ( 삼성전자수석 ) 이용식 ( 연세대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 장길진 ( 경북대학교교수 ) 조면균 ( 세명대학교교수 ) 조제광 (LG 전자박사 ) 최병호 ( 전자부품연구원센터장 ) 최수용 ( 연세대학교교수 ) 최윤경 ( 삼성전자마스터 ) 최중호 ( 서울시립대학교교수 ) 최진호 (LG 전자수석연구원 ) 홍민철 ( 숭실대학교교수 ) 교육홍보위원회 위 원 장 윤일구 ( 연세대학교교수 ) 부위원장 이석필 ( 상명대학교교수 ) 위 원 김정구 ( 부산대학교교수 ) 김준태 ( 건국대학교교수 ) 류시복 ( 자동차부품연구원책임연구원 ) 이동훈 ( 삼성전자수석연구원 ) 이용식 ( 연세대학교교수 ) 이종호 ( 서울대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 장길진 ( 경북대학교교수 ) 장준혁 ( 한양대학교교수 ) 허 준 ( 고려대학교교수 ) 표준화위원회 위 원 장 홍용택 ( 서울대학교교수 ) 위 원 김원종 ( 한국전자통신연구원책임연구원 ) 구정래 ( 한국심사자격인증원팀장 ) 권기원 ( 성균관대학교교수 ) 김대환 ( 국민대학교교수 ) 김동규 ( 한양대학교교수 ) 김병철 ( 한양대학교교수 ) 김시호 ( 연세대학교교수 ) 김옥수 ( 인피니언코리아이사 ) 김종훈 ( 한국과학기술원교수 ) 박기찬 ( 건국대학교교수 ) 박주현 ( 픽셀플러스실장 ) 신성호 ( 우석대학교교수 ) 연규봉 ( 자동차부품연구원팀장 ) 윤대원 ( 법무법인다래이사 ) 이민영 ( 한국반도체산업협회본부장 ) 이상근 ( 성균관대학교교수 ) 이상미 (IITP 팀장 ) 이상준 ( 수원과학대학교수 ) 이서호 ( 한국기계전기전자시험연구원과장 ) 이종묵 (SOL 대표 ) 이호진 ( 숭실대학교교수 ) 장미혜 ( 연세대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 좌성훈 ( 서울과학기술대학교교수 ) 차철웅 ( 전자부품연구원책임연구원 ) 최병덕 ( 한양대학교교수 ) 한태수 ( 국가기술표준원 / 표준협회표준코디 ) 정보화위원회 위 원 장 김종옥 ( 고려대학교교수 ) 위 원 권구락 ( 조선대학교교수 ) 김승구 ( 충북대학교교수 ) 김용신 ( 고려대학교교수 ) 김창수 ( 고려대학교교수 ) 박천수 ( 세종대학교교수 ) 유윤섭 ( 한경대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 한재호 ( 고려대학교교수 )

14 The Magazine of the IEIE 지부담당위원회 위 원 장 박홍준 ( 포항공과대학교교수 ) 위 원 강민제 ( 제주대학교교수 ) 박정일 ( 영남대학교교수 ) 백인천 (AIZU대학교교수 ) 이장명 ( 부산대학교교수 ) 이희덕 ( 충남대학교교수 ) 임해진 ( 강원대학교교수 ) 장은영 ( 공주대학교교수 ) 조경주 ( 원광대학교교수 ) 최명준 ( 텔레다인박사 ) 최영규 ( 한국교통대학교교수 ) 최조천 ( 목포해양대학교교수 ) 선거관리위원회 위 원 장 이진구 ( 동국대학교석좌교수 ) 위 원 김선욱 ( 고려대학교교수 ) 김종옥 ( 고려대학교교수 ) 백광현 ( 중앙대학교교수 ) 심정연 ( 강남대학교교수 ) 이충용 ( 연세대학교교수 ) 이흥노 ( 광주과학기술원교수 ) 포상위원회 위 원 장 전국진 ( 서울대학교교수 ) 위 원 김선욱 ( 고려대학교교수 ) 백광현 ( 중앙대학교교수 ) 유창동 ( 한국과학기술원교수 ) 이충용 ( 연세대학교교수 ) 이혁재 ( 서울대학교교수 ) 홍대식 ( 연세대학교교수 ) 재정위원회 위 원 장 구용서 ( 단국대학교교수 ) 위 원 고성제 ( 고려대학교교수 ) 백준기 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) 이필중 ( 포항공과대학교교수 ) 전국진 ( 서울대학교교수 ) 정 준 ( 쏠리드대표이사 ) 한대근 ( 실리콘웍스대표이사 ) 홍대식 ( 연세대학교교수 ) 인사위원회 위 원 장 구용서 ( 단국대학교교수 ) 위 원 박종일 ( 한양대학교교수 ) 백광현 ( 중앙대학교교수 ) 이충용 ( 연세대학교교수 ) 임혜숙 ( 이화여자대학교교수 ) 홍대식 ( 연세대학교교수 ) SPC 위원회 위 원 장 심동규 ( 광운대학교교수 ) 자문위원 구용서 ( 단국대학교교수 ) 김선욱 ( 고려대학교교수 ) 박종일 ( 한양대학교교수 ) 백준기 ( 중앙대학교교수 ) 이혁재 ( 서울대학교교수 ) 전병우 ( 성균관대학교교수 ) 조남익 ( 서울대학교교수 ) 조민호 ( 고려대학교교수 ) 홍대식 ( 연세대학교교수 ) 위 원 김창수 ( 고려대학교교수 ) 박철수 ( 광운대학교교수 ) 이기성 ( 고려대학교교수 ) 이상근 ( 중앙대학교교수 ) 이채은 ( 인하대학교교수 ) 정승원 ( 동국대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) 황인철 ( 강원대학교교수 ) JSTS 위원회 위 원 장 Hoi-Jun Yoo (KAIST) 부위원장 Dim-Lee Kwong (Institute of Microelectronics) 위 원 Akira Matsuzawa (Tokyo Institute of Technology) Byeong-Gyu Nam (Chungnam National Univ.) Byung-Gook Park (Seoul National Univ.) Cary Y. Yang (Santa Clara Univ.) Chang sik Yoo (Hanyang Univ.) Chennupati Jagadish (Australian National Univ.) Deog-Kyoon Jeong (Seoul National Univ.) Dong S. Ha (Virginia Tech) Eun Sok Kim (USC) Gianaurelio Cuniberti (Dresden Univ. of Technology) Hi-Deok Lee (Chungnam Univ.) Hong June Park (POSTECH) Hyoung sub Kim (Sungkyunkwan Univ.) Hyun-Kyu Yu (ETRI) Jamal Deen (McMaster University, Canada) Jin wook Burm (Sogang Univ.) Jong-Uk Bu (Sen Plus) Jun young Park (UX Factory) Kofi Makinwa (Delft Univ. of Technology) Meyya Meyyappan (NASA Ames Research Center) Min-kyu Song (Dongguk Univ.) Moon-Ho Jo (POSTECH) Nobby Kobayashi (UC Santa Cruz) Paul D. Franzon (North Carolina State Univ.) Rino Choi (Inha Univ.) Sang-Hun Song (Chung-Ang Univ.) Sang-Sik Park (Sejong Iniv.) Seung-Hoon Lee (Sogang Univ.) Shen-Iuan Liu (National Taiwan Univ.) Shi ho Kim (Yonsei Univ.) Stephen A. Campbell (Univ. of Minnesota) Sung Woo Hwang (Korea Univ.) Tadahiro Kuroda (Keio Univ.) Tae-Song Kim (KIST) Tsu-Jae King Liu (UC Berkeley) Vojin G. Oklobdzija (Univ. of Texas at Dallas) Weileun Fang (National Tsing Hua Univ.) Woo geun Rhee (Tsinghua Univ.) Yang-Kyu Choi (KAIST) Yogesh B. Gianchandani (Univ. of Michigan, Ann Arbor) Yong-Bin Kim (Northeastern Univ.) Yuhua Cheng (Peking Univ.)

15 Society 명단 통신소사이어티 회 장 이재진 ( 숭실대학교교수 ) 부 회 장 김재현 ( 아주대학교교수 ) - 사업 이흥노 ( 광주과기원교수 ) - 학술 유명식 ( 숭실대학교교수 ) - 재무 / 편집 감 사 방성일 ( 단국대학교교수 ) 이호경 ( 홍익대학교교수 ) 협동부회장 김병남 ( 에이스테크놀로지연구소장 ) 김연은 ( 브르던대표이사 ) 김영한 ( 숭실대학교교수 ) 김용석 ( 답스대표이사 ) 김인경 (LG 전자상무 ) 류승문 ( 카서대표이사 ) 박용석 ( LICT 대표이사 ) 방승찬 ( 한국전자통신연구원부장 ) 연철흠 (LGT 상무 ) 오정근 ( ATNS 대표이사 ) 이승호 ( 하이게인부사장 ) 정진섭 ( 이노와이어리스부사장 ) 이재훈 ( 유정시스템 대표이사 ) 정현규 ( 한국전자통신연구원부장 ) 이 사 김선용 ( 건국대학교교수 ) 김성훈 ( 한국전자통신연구원박사 ) 김정호 ( 이화여자대학교교수 ) 김진영 ( 광운대학교교수 ) 노윤섭 ( 한국전자통신연구원박사 ) 서철헌 ( 숭실대학교교수 ) 성원진 ( 서강대학교교수 ) 신요안 ( 숭실대학교교수 ) 윤석현 ( 단국대학교교수 ) 윤종호 ( 한국항공대학교교수 ) 이인규 ( 고려대학교교수 ) 이재훈 ( 동국대학교교수 ) 이종창 ( 홍익대학교교수 ) 임종태 ( 홍익대학교교수 ) 장병수 (KT 상무 ) 조인호 ( 에이스테크놀로지박사 ) 최진식 ( 한양대학교교수 ) 허 준 ( 고려대학교교수 ) 허서원 ( 홍익대학교교수 ) 연구회위원장 윤석현 ( 단국대학교교수 ) - 통신연구회 유태환 ( 한국전자통신연구원박사 ) - 스위칭및라우팅연구회 조춘식 ( 한국항공대학교교수 ) - 마이크로파및전파전파연구회 이철기 ( 아주대학교교수 ) - ITS 연구회 정교일 ( 한국전자통신연구원책임연구원 ) - 정보보안시스템연구회 김강욱 ( 경북대학교교수 ) - 군사전자연구회 - 방송ㆍ통신융합기술연구회 박광로 ( 한국전자통신연구원부장 ) - 무선 PAN/BAN연구회 김봉태 ( 한국전자통신연구원소장 ) - 미래네트워크연구회 간 사 신오순 ( 숭실대학교교수 ) 윤지훈 ( 서울과학기술대학교교수 ) 반도체소사이어티 회 장 전영현 ( 삼성전자사장 ) 자문위원 권오경 ( 한양대학교교수 ) 선우명훈 ( 아주대학교교수 ) 신윤승 ( 삼성전자고문 ) 신현철 ( 한양대학교교수 ) 우남성 ( 삼성전자사장 ) 임형규 (SK하이닉스부회장 ) 감 사 정진균 ( 전북대학교교수 ) 최준림 ( 경북대학교교수 ) 수석부회장 조중휘 ( 인천대학교교수 ) 연구담당부회장 조경순 ( 한국외국어대학교교수 ) 사업담당부회장 김진상 ( 경희대학교교수 ) 학술담당부회장 범진욱 ( 서강대학교교수 ) 총무이사 공준진 ( 삼성전자마스터 ) 김동규 ( 한양대학교교수 ) 박종선 ( 고려대학교교수 ) 이한호 ( 인하대학교교수 ) 편집이사 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 한태희 ( 성균관대학교교수 ) 학술이사 강진구 ( 인하대학교교수 ) 김영환 ( 포항공과대학교교수 ) 김재석 ( 연세대학교교수 ) 노정진 ( 한양대학교교수 ) 박성정 ( 건국대학교교수 ) 박홍준 ( 포항공과대학교교수 ) 송민규 ( 동국대학교교수 ) 이혁재 ( 서울대학교교수 ) 정연모 ( 경희대학교교수 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 최우영 ( 연세대학교교수 ) 사업이사 강성호 ( 연세대학교교수 ) 강태원 ( 넥셀사장 ) 공배선 ( 성균관대학교교수 ) 권기원 ( 성균관대학교교수 ) 김경기 ( 대구대학교교수 ) 김달수 (TLI 대표이사 ) 김동현 (ICTK 사장 ) 김보은 ( 라온텍사장 ) 김소영 ( 성균관대학교교수 ) 김시호 ( 연세대학교교수 ) 김준석 (ADT 사장 ) 김철우 ( 고려대학교교수 ) 김한기 ( 코아로직사장 ) 손보익 (LG 전자전무 ) 송태훈 ( 휴인스사장 ) 신용석 ( 케이던스코리아사장 ) 안흥식 (Xilinx Korea 지사장 ) 양영인 ( 멘토사장 ) 유경동 (SK하이닉스상무 ) 윤광섭 ( 인하대학교교수 ) 이도영 ( 옵토레인대표 ) 이윤종 ( 동부하이텍상무 ) 이종열 (FCI 부사장 ) 정해수 (Synopsys 사장 ) 정희범 ( 한국전자통신연구원본부장 ) 조대형 ( 스위스로잔연방공대총장수석보좌관 ) 조상복 ( 울산대학교교수 ) 조태제 ( 삼성전자마스터 ) 최승종 (LG 전자전무 ) 최윤경 ( 삼성전자마스터 ) 최종찬 ( 전자부품연구원본부장 ) 황규철 ( 삼성전자상무 ) 재무이사 김희석 ( 청주대학교교수 ) 임신일 ( 서경대학교교수 ) 회원이사 이광엽 ( 서경대학교교수 ) 최기영 ( 서울대학교교수 ) 연구회위원장 차호영 ( 홍익대학교교수 ) - 반도체. 재료부품연구회 오민철 ( 부산대학교교수 ) - 광파및양자전자공학연구회 이찬호 ( 숭실대학교교수 ) - SoC설계연구회 신현철 ( 광운대학교교수 ) - RF집적회로연구회 정원영 ( 다우인큐브전무 ) - PCB&Package연구회 간 사 김형탁 ( 홍익대학교교수 ) 문 용 ( 숭실대학교교수 ) 전경구 ( 인천대학교교수 ) 어영선 ( 한양대학교교수 ) 이성수 ( 숭실대학교교수 ) 백광현 ( 중앙대학교교수 ) 차호영 ( 홍익대학교교수 )

16 The Magazine of the IEIE 컴퓨터소사이어티 회 장 안현식 ( 동명대학교교수 ) 명예회장 김형중 ( 고려대학교교수 ) 박인정 ( 단국대학교교수 ) 박춘명 ( 한국교통대학교교수 ) 신인철 ( 단국대학교교수 ) 안병구 ( 홍익대학교교수 ) 이규대 ( 공주대학교교수 ) 임기욱 ( 선문대학교교수 ) 허 영 ( 한국전기연구원본부장 ) 홍유식 ( 상지대학교교수 ) 자문위원 이강현 ( 조선대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 감 사 남상엽 ( 국제대학교교수 ) 심정연 ( 강남대학교교수 ) 부 회 장 강문식 ( 강릉원주대학교교수 ) 김도현 ( 제주대학교교수 ) 김승천 ( 한성대학교교수 ) 조민호 ( 고려대학교교수 ) 협동부회장 권호열 ( 강원대학교교수 ) 김영학 ( 한국산업기술평가관리원본부장 ) 김천식 ( 세종대학교교수 ) 임병민 ( Agerigna Co.,Ltd 회장 ) 정용규 ( 을지대학교교수 ) 조병순 ( 시엔시인스트루먼트사장 ) 총무이사 박수현 ( 국민대학교교수 ) 최용수 ( 성결대학교교수 ) 재무이사 김진홍 ( 한성대학교교수 ) 이기영 ( 을지대학교교수 ) 홍보이사 황인정 ( 명지병원책임 ) 편집이사 강병권 ( 순천향대학교교수 ) 기장근 ( 공주대학교교수 ) 변영재 ( 울산과학기술대학교교수 ) 윤은준 ( 경일대학교교수 ) 이석환 ( 동명대학교교수 ) 진성아 ( 성결대학교교수 ) 진 훈 ( 경기대학교교수 ) 학술이사 강상욱 ( 상명대학교교수 ) 김선욱 ( 고려대학교교수 ) 성해경 ( 한양여자대학교교수 ) 손경락 ( 한국해양대학교교수 ) 우운택 ( 한국과학기술원교수 ) 이문구 ( 김포대학교교수 ) 이민호 ( 경북대학교교수 ) 이성로 ( 목포대학교교수 ) 이찬수 ( 영남대학교교수 ) 허 준 ( 경민대학교교수 ) 사업이사 김종윤 ( 경동대학교교수 ) 김홍균 ( 이화여자대학교교수 ) 박세환 ( 한국과학기술정보연구원전문연구위원 ) 박승창 ( 유오씨사장 ) 전병태 ( 한경대학교교수 ) 산학이사 김대휘 ( 경봉대표이사 ) 김성길 ( K4M 이사 ) 김종국 ( 이로젠대표 ) 노소영 ( 월송출판대표이사 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오승훈 (LG C&S 과장 ) 유성철 (LG 히다찌산학협력팀장 ) 논문편집위원장 최용수 ( 성결대학교교수 ) 연구회위원장 윤은준 ( 경일대학교교수 ) - 융합컴퓨팅연구회 이민호 ( 경북대학교교수 ) - 인공지능 / 신경망 / 퍼지연구회 강문식 ( 강릉원주대학교교수 ) - 멀티미디어연구회 진 훈 ( 경기대학교교수 ) - 유비쿼터스시스템연구회 김도현 ( 제주대학교교수 ) - M2M/IoT 연구회 신호처리소사이어티 회 장 조남익 ( 서울대학교교수 ) 자문위원 김홍국 ( 광주과학기술원교수 ) 이영렬 ( 세종대학교교수 ) 홍민철 ( 숭실대학교교수 ) 전병우 ( 성균관대학교교수 ) 감 사 김원하 ( 경희대학교교수 ) 최해철 ( 한밭대학교교수 ) 부 회 장 김문철 ( 한국과학기술원교수 ) 김창익 ( 한국과학기술원교수 ) 박종일 ( 한양대학교교수 ) 심동규 ( 광운대학교교수 ) 협동부회장 강동욱 ( 정보통신기술진흥센터 CP) 김진웅 ( 한국전자통신연구원그룹장 ) 백준기 ( 중앙대학교교수 ) 변혜란 ( 연세대학교교수 ) 신원호 (LG 전자상무 ) 양인환 (TI Korea 이사 ) 오은미 ( 삼성전자마스터 ) 이병욱 ( 이화여자대학교교수 ) 지인호 ( 홍익대학교교수 ) 최병호 ( 전자부품연구원센터장 ) 이 사 강현수 ( 충북대학교교수 ) 권기룡 ( 부경대학교교수 ) 김남수 ( 서울대학교교수 ) 김정태 ( 이화여자대학교교수 ) 김해광 ( 세종대학교교수 ) 박구만 ( 서울과학기술대학교교수 ) 박인규 ( 인하대학교교수 ) 서정일 ( 한국전자통신연구원선임연구원 ) 신지태 ( 성균관대학교교수 ) 엄일규 ( 부산대학교교수 ) 유양모 ( 서강대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상윤 ( 연세대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 장길진 ( 울산과학기술대학교교수 ) 장준혁 ( 한양대학교교수 ) 한종기 ( 세종대학교교수 ) 협동이사 강상원 ( 한양대학교교수 ) 강제원 ( 이화여자대학교교수 ) 구형일 ( 아주대학교교수 ) 권구락 ( 조선대학교교수 ) 김기백 ( 숭실대학교교수 ) 김상효 ( 성균관대학교교수 ) 김용환 ( 전자부품연구원선임연구원 ) 김응규 ( 한밭대학교교수 ) 김재곤 ( 한국항공대학교교수 ) 김창수 ( 고려대학교교수 ) 박상윤 ( 명지대학교교수 ) 박현진 ( 성균관대학교교수 ) 박호종 ( 광운대학교교수 ) 서영호 ( 광운대학교교수 ) 신재섭 ( 픽스트리대표이사 ) 신종원 ( 광주과학기술원교수 ) 양현종 ( 울산과학기술대학교교수 ) 이기승 ( 건국대학교교수 ) 이종설 ( 전자부품연구원책임연구원 ) 이창우 ( 카톨릭대학교교수 ) 임재윤 ( 제주대학교교수 ) 장세진 ( 전자부품연구원센터장 ) 최강선 ( 한국기술교육대학교교수 ) 최승호 ( 서울과학기술대학교교수 ) 최준원 ( 한양대학교교수 ) 홍성훈 ( 전남대학교교수 ) 연구회위원장 김무영 ( 세종대학교교수 ) - 음향및신호처리연구회 송병철 ( 인하대학교교수 ) - 영상신호처리연구회 이찬수 ( 영남대학교교수 ) - 영상이해연구회 예종철 ( 한국과학기술원교수 ) - 바이오영상신호처리연구회 총무간사 허용석 ( 아주대학교교수 ) 시스템및제어소사이어티 회 장 오승록 ( 단국대학교교수 ) 부 회 장 정길도 ( 전북대학교교수 ) 김영철 ( 군산대학교교수 ) 이경중 ( 연세대학교교수 ) 유정봉 ( 공주대학교교수 ) 주영복 ( 한국기술교육대학교교수 ) 자문위원 박종국 ( 경희대학교교수 ) 서일홍 ( 한양대학교교수 ) 김덕원 ( 연세대학교교수 ) 김희식 ( 서울시립대학교교수 ) 허경무 ( 단국대학교교수 ) 오창현 ( 고려대학교교수 ) 오상록 ( 한국과학기술연구원분원장 )

17 감 사 김영진 ( 생산기술연구원박사 ) 남기창 ( 연세대학교교수 ) 총무이사 권종원 ( 한국산업기술시험원박사 ) 김용태 ( 한경대학교교수 ) 재무이사 최영진 ( 한양대학교교수 ) 김준식 (KIST 박사 ) 학술이사 서성규 ( 고려대학교교수 ) 김용권 ( 건양대학교교수 ) 박재흥 ( 서울대학교교수 ) 편집이사 남기창 ( 연세대학교교수 ) 이수열 ( 경희대학교교수 ) 김시호 ( 연세대학교교수 ) 기획이사 최현택 ( 한국해양과학기술원박사 ) 이덕진 ( 군산대학교교수 ) 김수찬 ( 한경대학교교수 ) 사업이사 이석재 ( 대구보건대학교교수 ) 고낙용 ( 조선대학교교수 ) 양연모 ( 금오공과대학교교수 ) 산학연이사 조영조 ( 한국전자통신연구원박사 ) 강대희 ( 유도 박사 ) 홍보이사 김호철 ( 을지대학교교수 ) 박재병 ( 전북대학교교수 ) 여희주 ( 대진대학교교수 ) 회원이사 이학성 ( 세종대학교교수 ) 변영재 ( 울산과학기술대학교교수 ) 문정호 ( 강릉원주대학교교수 ) 연구회위원장 한수희 (POSTECH 교수 ) - 제어계측연구회 이성준 ( 한양대학교교수 ) - 회로및시스템연구회 남기창 ( 동국대학교교수 ) - 의용전자및생체공학연구회 김규식 ( 서울시립대학교교수 ) - 전력전자연구회 조영조 ( 한국전자통신연구원박사 ) - 지능로봇연구회 전순용 ( 동양대학교교수 ) - 국방정보및제어연구회 - 자동차전자연구회 오창현 ( 고려대학교교수 ) - 의료영상시스템연구회 권종원 ( 한국산업기술시험원선임연구원 ) - 스마트팩토리연구회 산업전자소사이어티 회 장 원영진 ( 부천대학교교수 ) 명예회장 강창수 ( 유한대학교교수 ) 남상엽 ( 국제대학교교수 ) 윤기방 ( 인천대학교교수 ) 이상회 ( 동서울대학교교수 ) 이원석 ( 동양미래대학교교수 ) 자문위원 김용민 ( 충청대학교교수 ) 김종부 ( 인덕대학교교수 ) 윤한오 ( 동국대학교교수 ) 이상준 ( 수원과학대학교교수 ) 최영일 ( 조선이공대학교총장 ) 부 회 장 김동식 ( 인하공업전문대학교수 ) 김태원 ( 상지영서대학교교수 ) 동성수 ( 용인송담대학교교수 ) 서춘원 ( 김포대학교교수 ) 이병선 ( 김포대학교교수 ) 이용구 ( 한림성심대학교교수 ) 한완옥 ( 여주대학교교수 ) 감 사 김영선 ( 대림대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 협동부회장 강현웅 ( 핸즈온테크놀로지대표 ) 곽은식 ( 경봉부사장 ) 김대휘 ( 한국정보기술대표 ) 김영주 ( 훼스텍상무 ) 김응연 ( 인터그래택대표 ) 김정석 (ODA 테크롤로지대표 ) 김종인 (LG 엔시스본부장 ) 김진선 ( 청파이엠티대표 ) 김창일 ( 아이지대표 ) 김태형 ( 하이버스대표 ) 남승우 ( 상학당대표 ) 박용후 ( 이디대표 ) 박현찬 ( 나인플러스EDA 대표 ) 성재용 ( 오픈링크시스템대표 ) 송광헌 ( 복두전자대표 ) 이영준 ( 비츠로시스본부장 ) 장 철 (LG 히타찌본부장 ) 진수춘 ( 한백전자대표 ) 한성준 ( 아이티센부사장 ) 이 사 강민구 ( 경기과학기술대학교교수 ) 강희훈 ( 여주대학교교수 ) 고정환 ( 인하공업전문대학교수 ) 곽칠성 ( 재능대학교교수 ) 구자일 ( 인하공업전문대학교수 ) 권오복 ( 국제대학교교수 ) 권오상 ( 경기과학기술대학교교수 ) 김 현 ( 부천대학교교수 ) 김남섭 ( 서일대학교교수 ) 김덕수 ( 동양미래대학교교수 ) 김덕영 ( 부천대학교교수 ) 김상범 ( 폴리텍인천교수 ) 김영로 ( 명지전문대학교수 ) 김영우 ( 두원공과대학교교수 ) 김영준 ( 인하공업전문대학교수 ) 김윤석 ( 상지영서대학교교수 ) 김은원 ( 대림대학교교수 ) 김태용 ( 구미대학교교수 ) 문현욱 ( 동원대학교교수 ) 박성욱 ( 인하공업전문대학교수 ) 박종우 ( 재능대학교교수 ) 박진홍 ( 혜전대학교교수 ) 반기종 ( 부천대학교교수 ) 방경호 ( 명지전문대학교수 ) 방극준 ( 인덕대학교교수 ) 배효관 ( 동원대학교교수 ) 백승철 ( 우송정보대학교교수 ) 변상준 ( 대덕대학교교수 ) 서병석 ( 상지영서대학교교수 ) 성해경 ( 한양여자대학교교수 ) 성홍석 ( 부천대학교교수 ) 손병희 ( 인하공업전문대학교수 ) 송도선 ( 우송정보대학교교수 ) 송정태 ( 동서울대학교교수 ) 신진섭 ( 경민대학교교수 ) 신철기 ( 부천대학교교수 ) 심완보 ( 충청대학교교수 ) 안성수 ( 명지전문대학교수 ) 안태원 ( 동양미래대학교교수 ) 엄우용 ( 인하공업전문대학교수 ) 오태명 ( 명지전문대학교수 ) 용승림 ( 인하공업전문대학교수 ) 우찬일 ( 서일대학교교수 ) 윤중현 ( 조선이공대학교교수 ) 이 철 ( 인하공업전문대학교수 ) 이규희 ( 상지영서대학교교수 ) 이동영 ( 명지전문대학교수 ) 이명문 ( 수원과학대학교교수 ) 이상철 ( 재능대학교교수 ) 이승우 ( 동원대학교교수 ) 이시현 ( 동서울대학교교수 ) 이정석 ( 인하공업전문대학교수 ) 이종근 ( 부천대학교교수 ) 이종성 ( 부천대학교교수 ) 이종용 ( 광운대학교교수 ) 이종하 ( 전주비전대학교교수 ) 이태동 ( 국제대학교교수 ) 장기동 ( 동양미래대학교교수 ) 장성석 ( 영진전문대학교수 ) 전종원 ( 상지영서대학교교수 ) 정환익 ( 경복대학교교수 ) 조경식 ( 국제대학교교수 ) 주진화 ( 오산대학교교수 ) 최선정 ( 국제대학교교수 ) 최의선 ( 폴리텍아산교수 ) 최현식 ( 충북보건과학대학교교수 ) 허윤석 ( 충청대학교교수 ) 황수철 ( 인하공업전문대학교수 ) 협동이사 강현석 ( 로보웰코리아대표 ) 고강일 ( 핸즈온테크놀로지부장 ) 김민준 ( 베리타스부장 ) 김세종 (SJ정보통신부사장 ) 김순식 ( 청파이엠티이사 ) 김연길 ( 대보정보통신본부장 ) 김태웅 ( 윕스부장 ) 박정민 ( 오므론과장 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 신우현 ( 경봉상무 ) 양영규 ( 아이지상무 ) 오승훈 (LG-CNS 과장 ) 오재곤 ( 세인부사장 ) 원우연 ( 이디부장 ) 유성철 (LG 히다찌산학팀장 ) 유제욱 ( 한빛아카데미부장 ) 이성대 ( 비츠로시스이사 ) 이요한 ( 유성SDS 대표 ) 이재준 ( 한백전자부장 ) 이진우 ( 글로벌이링크대표 ) 이현성 ( 한국마케팅대표 ) 장대현 ( 지에스비텍상무 ) 조규남 ( 로봇신문사대표 ) 조병영 ( 태진인포텍전무 ) 조한일 ( 투데이게이트이사 ) 한상우 ( 인터그래택팀장 )

18 The Magazine of the IEIE 제 21 대평의원명단 강문식 ( 강릉원주대학교교수 ) 강민제 ( 제주대학교교수 ) 강석형 ( 울산과학기술대학교교수 ) 강성호 ( 연세대학교교수 ) 강의성 ( 순천대학교교수 ) 강진구 ( 인하대학교교수 ) 강창수 ( 유한대학교교수 ) 고성제 ( 고려대학교교수 ) 고요환 ( 매그나칩반도체전무 ) 고윤호 ( 충남대학교교수 ) 고현석 ( 한국전자통신연구원선임연구원 ) 공배선 ( 성균관대학교교수 ) 공준진 ( 삼성전자마스터 ) 구용서 ( 단국대학교교수 ) 구자일 ( 인하공업전문대학교수 ) 권기룡 ( 부경대학교교수 ) 권기원 ( 성균관대학교교수 ) 권오경 ( 한양대학교교수 ) 권종기 ( 한국전자통신연구원책임연구원 ) 권종원 ( 한국산업기술시험원선임연구원 ) 권혁인 ( 중앙대학교교수 ) 권호열 ( 강원대학교교수 ) 김강욱 ( 경북대학교교수 ) 김경기 ( 대구대학교교수 ) 김규식 ( 서울시립대학교교수 ) 김기남 ( 삼성전자사장 ) 김기호 ( 삼성전자부사장 ) 김남용 ( 강원대학교교수 ) 김달수 ( 티엘아이대표이사 ) 김대환 ( 국민대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김도현 ( 제주대학교교수 ) 김동규 ( 한양대학교교수 ) 김동순 ( 전자부품연구원박사 ) 김동식 ( 인하공업전문대학교수 ) 김동식 ( 한국외국어대학교교수 ) 김무영 ( 세종대학교교수 ) 김문철 (KAIST 교수 ) 김보은 ( 라온텍사장 ) 김봉태 ( 한국전자통신연구원소장 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원실장 ) 김선용 ( 건국대학교교수 ) 김선욱 ( 고려대학교교수 ) 김선일 ( 한양대학교교수 ) 김성대 (KAIST 교수 ) 김성호 ( 한국산업기술평가관리원책임연구원 ) 김소영 ( 성균관대학교교수 ) 김수원 ( 고려대학교교수 ) 김수중 ( 명예회장 ) 김수찬 ( 한경대학교교수 ) 김수환 ( 서울대학교교수 ) 김승천 ( 한성대학교교수 ) 김시호 ( 연세대학교교수 ) 김영권 ( 명예회장 ) 김영선 ( 대림대학교교수 ) 김영철 ( 군산대학교교수 ) 김영환 ( 포항공과대학교교수 ) 김영희 ( 창원대학교교수 ) 김용민 ( 충청대학교교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원종 ( 한국전자통신연구원팀장 ) 김원하 ( 경희대학교교수 ) 김윤희 ( 경희대학교교수 ) 김재석 ( 연세대학교교수 ) 김재하 ( 서울대학교교수 ) 김재현 ( 아주대학교교수 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 김정호 ( 이화여자대학교교수 ) 김종대 ( 한국전자통신연구원연구위원 ) 김종선 ( 홍익대학교교수 ) 김종옥 ( 고려대학교교수 ) 김준모 (KAIST 교수 ) 김지훈 ( 서울과학기술대학교교수 ) 김진상 ( 경희대학교교수 ) 김진선 (SK이노베이션전무 ) 김진영 ( 광운대학교교수 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) 김창수 ( 고려대학교교수 ) 김창용 ( 삼성전자 DMC 연구소장 ) 김창익 (KAIST 교수 ) 김철동 ( 세원텔레텍대표이사 ) 김철우 ( 고려대학교교수 ) 김태원 ( 상지영서대학교교수 ) 김 현 ( 부천대학교교수 ) 김현수 ( 삼성전자상무 ) 김현철 ( 울산대학교교수 ) 김형탁 ( 홍익대학교교수 ) 김홍국 ( 광주과학기술원교수 ) 김 훈 ( 인천대학교교수 ) 김희석 ( 청주대학교교수 ) 김희식 ( 서울시립대학교교수 ) 나정웅 ( 명예회장 ) 남기창 ( 동국대학교교수 ) 남상엽 ( 국제대학교교수 ) 남상욱 ( 서울대학교교수 ) 남일구 ( 부산대학교교수 ) 노원우 ( 연세대학교교수 ) 노정진 ( 한양대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 도양회 ( 제주대학교교수 ) 동성수 ( 용인송담대학교교수 ) 류수정 ( 삼성전자상무 ) 문영식 ( 한양대학교교수 ) 문 용 ( 숭실대학교교수 ) 민경식 ( 국민대학교교수 ) 민경오 (LG 전자부사장 ) 박광로 ( 한국전자통신연구원부장 ) 박광석 ( 서울대학교교수 ) 박규태 ( 연세대학교명예교수 ) 박동일 ( 현대자동차 전무 ) 박래홍 ( 서강대학교교수 ) 박병국 ( 서울대학교교수 ) 박성욱 (SK하이닉스 대표이사 ) 박성한 ( 명예회장 ) 박수현 ( 국민대학교교수 ) 박인규 ( 인하대학교교수 ) 박정일 ( 영남대학교교수 ) 박종일 ( 한양대학교교수 ) 박주현 ( 영남대학교교수 ) 박진옥 ( 명예회장 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박항구 ( 명예회장 ) 박현욱 (KAIST 교수 ) 박현창 ( 동국대학교교수 ) 박형무 ( 동국대학교교수 ) 박홍준 ( 포항공과대학교교수 ) 방성일 ( 단국대학교교수 ) 백광현 ( 중앙대학교교수 ) 백만기 ( 김 & 장법률사무소변리사 ) 백준기 ( 중앙대학교교수 ) 백흥기 ( 전북대학교교수 ) 범진욱 ( 서강대학교교수 ) 변대석 ( 삼성전자마스터 ) 변영재 ( 울산과학기술대학교교수 ) 변증남 ( 명예회장 ) 서승우 ( 서울대학교교수 ) 서정욱 ( 명예회장 ) 서진수 ( 강릉원주대학교교수 ) 서철헌 ( 숭실대학교교수 ) 서춘원 ( 김포대학교교수 ) 선우경 ( 이화여자대학교교수 ) 선우명훈 ( 아주대학교교수 ) 성굉모 ( 명예회장 ) 성하경 ( 전자부품연구원선임연구본부장 ) 성해경 ( 한양여자대학교교수 ) 손광준 ( 한국산업기술평가관리원 PD) 손광훈 ( 연세대학교교수 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 송상헌 ( 중앙대학교교수 ) 송용호 ( 한양대학교교수 ) 송창현 ( 네이버 CTO) 신오순 ( 숭실대학교교수 ) 신요안 ( 숭실대학교교수 ) 신현동 ( 경희대학교교수 ) 신현철 ( 광운대학교교수 ) 신현철 ( 한양대학교교수 ) 심동규 ( 광운대학교교수 ) 심정연 ( 강남대학교교수 ) 안길초 ( 서강대학교교수 ) 안병구 ( 홍익대학교교수 ) 안승권 (LG 전자사장 ) 안태원 ( 동양미래대학교교수 ) 안현식 ( 동명대학교교수 ) 양일석 ( 한국전자통신연구원부장 ) 엄낙웅 ( 한국전자통신연구원소장 ) 엄일규 ( 부산대학교교수 ) 연규봉 ( 자동차부품연구원팀장 ) 예종철 (KAIST 교수 ) 오민철 ( 부산대학교교수 ) 오상록 ( 한국과학기술연구원분원장 ) 오승록 ( 단국대학교교수 ) 오의열 (LG 디스플레이 연구위원 ) 오창현 ( 고려대학교교수 ) 우남성 ( 삼성전자사장 ) 원영진 ( 부천대학교교수 ) 유경식 (KAIST 교수 ) 유명식 ( 숭실대학교교수 )

19 유윤섭 ( 한경대학교교수 ) 유정봉 ( 공주대학교교수 ) 유창동 (KAIST 교수 ) 유태환 ( 한국전자통신연구원책임연구원 ) 유현규 ( 한국전자통신연구원책임연구원 ) 유회준 (KAIST 교수 ) 윤기방 ( 인천대학교교수 ) 윤석현 ( 단국대학교교수 ) 윤성로 ( 서울대학교교수 ) 윤영권 ( 삼성전자마스터 ) 윤은준 ( 경일대학교교수 ) 윤일구 ( 연세대학교교수 ) 윤일동 ( 한국외국어대학교교수 ) 윤종용 ( 삼성전자비상임고문 ) 윤지훈 ( 서울과학기술대학교교수 ) 이가원 ( 충남대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이경중 ( 연세대학교교수 ) 이광만 ( 제주대학교교수 ) 이광엽 ( 서경대학교교수 ) 이규대 ( 공주대학교교수 ) 이문구 ( 김포대학교교수 ) 이문기 ( 명예회장 ) 이민영 ( 반도체산업협회본부장 ) 이민호 ( 경북대학교교수 ) 이배호 ( 전남대학교교수 ) 이병선 ( 김포대학교교수 ) 이병욱 ( 이화여자대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상설 ( 명예회장 ) 이상윤 ( 연세대학교교수 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이성수 ( 숭실대학교교수 ) 이승호 ( 한밭대학교교수 ) 이승훈 ( 서강대학교교수 ) 이신섭 ( 프라임에어웨이브대표이사 ) 이영렬 ( 세종대학교교수 ) 이용구 ( 한림성심대학교교수 ) 이원석 ( 동양미래대학교교수 ) 이윤식 ( 울산과학기술대학교교수 ) 이윤종 ( 동부하이텍부사장 ) 이인규 ( 고려대학교교수 ) 이장명 ( 부산대학교교수 ) 이재진 ( 숭실대학교교수 ) 이재홍 ( 서울대학교교수 ) 이재훈 ( 유정시스템 사장 ) 이종호 ( 서울대학교교수 ) 이진구 ( 명예회장 ) 이찬수 ( 영남대학교교수 ) 이찬호 ( 숭실대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 이채은 ( 인하대학교교수 ) 이천희 (( 전 ) 청주대학교교수 ) 이충용 ( 연세대학교교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 이필중 ( 포항공과대학교교수 ) 이한호 ( 인하대학교교수 ) 이혁재 ( 서울대학교교수 ) 이호경 ( 홍익대학교교수 ) 이호진 ( 숭실대학교교수 ) 이흥노 ( 광주과학기술원교수 ) 이희국 ( LG 상근고문 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 임기택 ( 전자부품연구원센터장 ) 임신일 ( 서경대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 임제탁 ( 명예회장 ) 임해진 ( 강원대학교교수 ) 임혜숙 ( 이화여자대학교교수 ) 장길진 ( 경북대학교교수 ) 장영찬 ( 금오공과대학교교수 ) 장은영 ( 공주대학교교수 ) 장익준 ( 경희대학교교수 ) 장태규 ( 중앙대학교교수 ) 전국진 ( 서울대학교교수 ) 전병우 ( 성균관대학교교수 ) 전순용 ( 동양대학교교수 ) 전영현 ( 삼성전자사장 ) 전준표 ( 한국산업기술평가관리원책임연구원 ) 전창율 ( 서울시립대학교교수 ) 전홍태 ( 중앙대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 정길도 ( 전북대학교교수 ) 정승원 ( 동국대학교교수 ) 정영모 ( 한성대학교교수 ) 정원영 ( 다우인큐브전무 ) 정윤호 ( 한국항공대학교교수 ) 정은승 ( 삼성전자부사장 ) 정의영 ( 연세대학교교수 ) 정정화 ( 명예회장 ) 정종문 ( 연세대학교교수 ) 정 준 ( 쏠리드대표이사 ) 정진균 ( 전북대학교교수 ) 정진용 ( 인하대학교교수 ) 정한유 ( 부산대학교교수 ) 정항근 ( 전북대학교교수 ) 조경순 ( 한국외국어대학교교수 ) 조경주 ( 원광대학교교수 ) 조남익 ( 서울대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 조명진 ( 네이버연구원 ) 조민호 ( 고려대학교교수 ) 조상복 ( 울산대학교교수 ) 조성현 ( 한양대학교교수 ) 조성환 (KAIST 교수 ) 조영조 ( 한국전자통신연구원책임연구원 ) 조재문 ( 삼성전자전무 ) 조중휘 ( 인천대학교교수 ) 주영복 ( 한국기술교육대학교교수 ) 진 훈 ( 경기대학교교수 ) 차종범 ( 구미전자정보기술원원장 ) 차형우 ( 청주대학교교수 ) 차호영 ( 홍익대학교교수 ) 천경준 ( 씨젠회장 ) 최강선 ( 한국기술교육대학교교수 ) 최기영 ( 서울대학교교수 ) 최병덕 ( 한양대학교교수 ) 최병호 ( 전자부품연구원센터장 ) 최승원 ( 한양대학교교수 ) 최승종 (LG 전자전무 ) 최영규 ( 한국교통대학교교수 ) 최용수 ( 성결대학교교수 ) 최우영 ( 서강대학교교수 ) 최윤경 ( 삼성전자마스터 ) 최윤식 ( 연세대학교교수 ) 최정아 ( 삼성전자전무 ) 최준림 ( 경북대학교교수 ) 최중호 ( 서울시립대학교교수 ) 최진성 (SK텔레콤전무 ) 최천원 ( 단국대학교교수 ) 한대근 ( 실리콘웍스대표이사 ) 한동석 ( 경북대학교교수 ) 한수희 ( 포항공과대학교교수 ) 한완옥 ( 여주대학교교수 ) 한종기 ( 세종대학교교수 ) 한태희 ( 성균관대학교교수 ) 함철희 ( 삼성전자마스터 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 허재두 ( 한국전자통신연구원실장 ) 허 준 ( 고려대학교교수 ) 호요성 ( 광주과학기술원교수 ) 홍국태 (LG 전자연구위원 ) 홍규식 ( 삼성전자상무 ) 홍대식 ( 연세대학교교수 ) 홍민철 ( 숭실대학교교수 ) 홍승홍 ( 명예회장 ) 홍용택 ( 서울대학교교수 ) 홍유식 ( 가톨릭상지대학교교수 ) 황승구 ( 한국전자통신연구원소장 ) 황인철 ( 강원대학교교수 ) 사무국직원명단송기원국장 - 업무총괄, 기획, 자문, 산학연, 선거이안순부장 - 국내학술대회, 총무, 포상, 임원관련, 컴퓨터 ( 소 ) 배지영차장 - 국문논문, JSTS, 시스템및제어 ( 소 ) 배기동차장 - 사업, 표준화, 용역, 반도체 ( 소 ) 변은정과장 - 재무 ( 본회 / 소사이어티 / 연구회 ), 학회지, 산업전자 ( 소 ) 김천일과장 - 정보화, 교육 / 홍보, 회원, 홈페이지, 통신 ( 소 ) 장다희서기 - 국제학술대회, SPC, 국제협력, 신호처리 ( 소 )

20 제2차자문위원회개최 9월 23일 ( 금 ) 학회회의실에서 2차자문위원회가개최되었다. 이번회의에서는먼저학회사업보고와자문에이어차기자문위원장, 부위원장을선출하였다. 자문위원회회의이후에는서울 IT포럼이개최되었고 ETRI 하원규박사가 제4차산업혁명의작동기제와대한민국의담대한도전 이라는주제로강연하였다. 의료전자융합공동워크샵 학술대회 - 구용서학회장개회사의논문이발표되었다. 특히, 행사마지막날에는구미전자정보기술원산업시찰등구미기술원내모바일융합기술센터및 3D디스플레이부품소재실용화지원센터를방문하는등다채로운프로그램으로진행되었다. 제2차자문위원회 - 구용서학회장사업보고의료전자융합공동워크샵 학술대회 의료전자융합 의주제를가지고대한전자공학회컴퓨터소사이어티, 신호처리소사이어티와구미전자정보기술원이공동주최하는워크샵ㆍ학술대회를 9월 29일 ( 목 ) ~ 30일 ( 금 ), 구미코에서개최하였다. 한국의전자분야산업발전에견인차역할을해온구미에서학계와연구소및산업체간의기술적정보를교류하기위한장으로서학회와지역의연구소가힘을모아본행사를개최하게되었으며, 구미지역산학연관계자참여를통한의료기기산업관련정보제공, 기술적수요해소기회를제공하였다. 기조강연으로연세대학교윤영로교수가 국내의료기기산업의미래 를강연하였으며, 의료IT융합및 IoT 분야등 4개세션에서 69편 WLP(Wafer Level Package) Workshop 2016 반도체소사이어티주최로 WLP Workshop 2016 을 10월 6일 ( 목 ) 경희대학교국제캠퍼스에서개최하였다. WLP(Wafer Level Package) Workshop _ The Magazine of the IEIE 12

21 News 본워크샵은매년하는 3D-TSV 워크샵의연장선으로진행되었으며, 국내외 FO-WLP 기술동향및개발등어려움을겪는국내부품업체들에전문지식을제공하기위해마련되었으며, 약 200명이참석하였다. Machine Learning for Genome Precision Medicine from ground zero 워크샵 사업위원회 ( 위원장 : 박종일교수 ( 한양대 ), 범진욱교수 ( 서강대 ), 유창동교수 (KAIST)) 에서는 10월 7일 ( 금 ) Machine Learning for Genome Precision Medicine from ground zero 를한국과학기술원다목적홀에서개최하였다. 최근인공지능에관한뜨거운관심을반영하여인공지능의핵심기술인머신러닝기법을인간의유전정보이용하여암을포함한다양한질병을예측하는유전체의학 (genomic medicine) 에적용하기위한강좌로진행되었으며, 본강좌에서는머신러닝과유전체학분야의기본지식에서부터최신기술들을소개되었다. 이번워크샵에서국내외질병과유전학과머신러닝관련최고전문가들을모시고인간의유전학 (Human genome & genetics 주영석 MD/PhD), computational biology, bioinformatics 분야와머신러닝분야의필요한기초지식과최신기술들을한국어강연으로우선듣고 Computation systems biology of Cancer 의저자이며프랑스 Center for Computation Biology의 Director이신 Jean-Philippe Vert 박사의강연으로구성되었다. 제 10 회군수용초고주파부품워크샵 - 구용서학회장인사말 학계분들을중심으로매회약 150명정도참석하여성황을이루었고, 상호간의기술협력및정보교류가성공적으로진행되었다. 이번워크샵은대한전자공학회, 한국전자파학회, 한국군사과학기술학회및한국전자통신연구원이공동주관기관으로참여하였으며, 약 330명이참가하였다. 정회원 신규회원가입현황 (2016 년 9 월 7 일 년 10 월 12 일 ) 강충환 ( 건국대학교병원 ), 김기현 (POSTECH 미래IT융합연구원 ), 김덕호 ( 삼성전자 DMC연구소 ), 김민수 ( 삼성전자 ), 김상준 ( 한화탈레스 ), 박상욱 ( 고려대학교 ), 박재영 ( 한화탈레스 ), 서민승 ( 한화탈레스 ), 서형규 ( 한화탈레스 ), 신승철 ( 한화탈레스 ), 이보원 ( 인하대학교 ), 이상호 ( 군산대학교 ), 이수호 ( 고려대학교 ), 이재준 ( 한화탈레스 ), 이종득 ( 한국로봇융합연구원 ), 이태현 ( 연세대학교 ), 임지훈 (LIG넥스원), 최대규 ( 한화탈레스 ), 한수영 ( 한화탈레스 ) 이상 19명 Machine Learning for Genome Precision Medicine from ground zero 제10회군수용초고주파부품워크샵 10월 13일 ( 목 ) 더케이서울호텔 ( 구서울교육문화회관, 양재동 ) 에서 제10회군수용초고주파부품워크샵 을개최하였다. 본워크샵은군수용초고주파시스템, 초고주파소자및부품기술, RF 설계및부품기반기술등의군수용초고주파핵심원천기술의확보및국산화를도모하기위하여국내의여러연구단체의공동주관하에초고주파관련최고전문가를초빙하여군수용초고주파부품워크샵을개최하여왔으며, 지난 1-9회워크샵에서는이분야에관심이많은기업체및 학생회원권동욱 ( 금오공과대학교 ), 권종훈 ( 금오공과대학교 ), 김규현 ( 금오공과대학교 ), 김민섭 ( 충남대학교 ), 김성윤 ( 서울대학교 ), 김성태 ( 고려대학교 ), 김성호 ( 고려대학교 ), 김소연 ( 아주대학교 ), 김치성 ( 경북대학교 ), 김태형 ( 연세대학교 ), 김현섭 ( 홍익대학교 ), 남민호 ( 충북대학교 ), 남종현 ( 숭실대학교 ), 양희성 ( 부산대학교 ), 오태호 ( 경북대학교 ), 유지현 ( 전북대학교 ), 이영로 ( 고려대학교 ), 이재학 ( 아주대학교 ), 전광명 ( 광주과학기술원 ), 정경민 ( 인하대학교 ), 조민기 ( 홍익대학교 ), 조윤환 ( 한양대학교 ), 한태영 ( 인하대학교 ), 황승현 ( 한양대학교 ) 이상 24명 13 전자공학회지 _ 793

22 학회일지 The Institute of Electronics and Information Engineers 2016년 9월 21일 ~ 2016년 10월 17일 1. 회의개최 회의명칭일시장소주요안건 제 4 차선거관리위원회의 9.23 (17:00) 학회회의실 - 차기임원선출준비논의외 산업전자소사이어티이사회의 9.23 (17:00) 해동자료실 - 후반기행사관련논의외 제 6 차 ICCE-Asia 2016 조직위원회의 9.27 (7:30) JW 메리어트호텔 - 학회관련세부적인안건논의 2. 행사개최 행사명칭일시장소주관 의료전자융합공동워크샵ㆍ학술대회 9.29~30 구미코본회, 컴퓨터, 신호처리소사이어티 WLP Workshop 경희대학교반도체소사이어티 Machine Learning for Genome Precision Medicine from ground zero 10.7 KAIST 사업위원회 제 10 회군수용초고주파부품워크샵 더케이서울호텔 RF 집적회로기술연구회 794 _ The Magazine of the IEIE 14

23 특집 금속 3D 프린팅설계기술동향 금속 3D 프린팅설계기술 동향 Ⅰ. 서론 변기영한국산업기술평가관리원이창우한국생산기술연구원김건희한국생산기술연구원김형균한국생산기술연구원 4차제조혁명을주도할수있는기술중하나로 3D 프린팅기술이각광받고있다. 3D 프린팅 ( 또는삼차원프린팅 ) 이란삼차원형상의구조물을구현하기위해삼차원도면을자동화된출력장치를통해입체화하는기술을의미한다. 기존의성형또는사출기술과비교하여 3D프린팅은생산공정이매우간단하며상대적으로복잡하며, 기존성형또는사출기술로는제작이불가능한제품을저비용으로제작할수있는장점을가지고있다. 때문에미국, 일본, 유럽과같은선진국에서는 3D프린팅기술을제조업혁신을위한핵심기술로선정하여국가주도의정책적지원을꾸준히전개하고있으며, Google, HP, Amazon과같은글로벌기업들또한 3D 프린팅시장진출의경쟁을가속화하고있다. 우리정부또한 2014년 3D프린팅산업발전전략 [1] 을수립하여기술개발, 인프라조성, 산업인력양성, 법 제도마련등초기생태계조성을육성하고자노력하고있다. 그러나, 3D 프린팅선진국과의기술격차, 기존주력산업분야의활용수요부족, 핵심소재와장비의가격이비싸중소중견기업중심의후발업체가창의적아이디어만으로는시장진입문턱이높아국내산업경쟁력은여전히미흡한수준이다. 또한, 다품종소량생산의시장수요에걸맞아 3D프린팅의유망산업중하나로손꼽히는의료기기의경우에도사회적기반, 인허가문제에대한기반이미약하여산업적실용화를위한난관이많다할수있다. 이병수한국생산기술연구원 본기고에서는 3D프린팅에관한일반적기술동향과함께 3D프린팅의핵심기술중설계기술과 15 전자공학회지 _ 795

24 변기영, 이창우, 김건희, 김형균, 이병수 제조 ( 공정 ) 기술동향을살펴보고, 산업적실용화를단축하기위한기술적제언을하고자한다. Ⅱ. 3D 프린팅의일반적기술동향 1. 3D 프린팅의기술방식분류 삼차원조형물을제작하기위한기존방식은재료를자르거나깍아생산하는절삭가공방식이대표적이며, 3D프린팅은액체, 파우더형태의폴리머 ( 수지 ), 금속등의재료를적층하여제조하는방식으로제작한다는점이가장큰차이점이라할수있다. 이렇게제작하는프로세스의차이를강조하여 3D 프린팅을적층제조 (Additive Manufactuing) 기술이라하며, 상대적으로복잡하고긴기존공정과비교하여상대적으로빠르게제작할수있는점을강조하여쾌속조형 (Rapid Prototyping) 제작방식이라부르기도한다. 3D 프린팅의구현은소재기술또는성형기법에따라다양하게구현이가능하며, 미국재료시험학회 (ASTM) 에서대표적인 7가지 3D 프린팅기술방식을분류하였고, 다음과같다 [2]. 광중합방식(PP, Photo Polymerization) 빛의조사로플라스틱소재의중합반응을일으켜선택적으로고형화시키는방식, SLA, DLP가대표적방식 재료압출방식 (ME, Material Extrusion) 고온가열한재료를노즐을통해압력으로연속적으로밀어내며위치를이동시켜물체를형성시키는방식, FDM 이대표적방식 접착제분사방식 (BJ, Binder Jetting) 가루형태의모재위에액체형태의접착제를토출하여모재를결합시키는방식, 3DP가대표적방식 재료분사방식 (MJ, Material Jetting) 용액형태의소재를 Jetting으로토출하고자외선등으로경화시키는방식, Polyjet이대표적방식 분말적층용융방식 (PBF Power Bed Fusion) 가루형태의모재위에고에너지빔 ( 레이저또는전자빔 ) 을주사하며조사해선택적으로결합시키는방식, SLS가대표적방식 고에너지직접조사방식 (DED, Direct Energy Deposition) 고에너지원 ( 레이저또는전자빔 ) 으로원소재를녹여부착시키는방식, DMT가대표적방식 마지막으로 Sheet Lamination 방식이있으며얇은필름형태의재료를열, 접착제등으로붙여가며적층하는방식이다. 2. 3D 프린팅의기술의장점과시장전망 [3] 3차원조형물을만들어내기위해기존기술은중간재를대상으로필요한부분만남기고나머지재료를제거하거나 ( 절삭가공 ), 소재를용융시켜틀에주입하여형상을만드는 ( 주물가공, 주조 ) 방법을사용하였다. 이러한기존제조방식과달리선택된부분만반복적으로적층하여 3 차원조형물을만들어낼수있는 3D 프린팅기술은기존기술대비상대적으로복잡한형상의구현이용이하고, 소재를절감하며, 디자인변경이용이하고다양한산업과연계융합이가능한장점을갖는다. ( 복잡한형상구현 ) 작업물과공구간간섭이최소화되어복잡한형상제조에더욱유리하며적층방식의제작으로내부형상제작이가능하다. ( 소재의절감 ) 임의의 3차원형상을제작하는데있어전통적인절삭가공과비교하여필요한만큼의소재를사용하므로소재를크게절감할수있고, 또한고강도를갖는 3차원격자구조의설계기법을기반으로형상의무게를크게줄일수있다. ( 디자인변경이용이 ) 별도의금형이필요없기때문 796 _ The Magazine of the IEIE 16

25 금속 3D 프린팅설계기술동향 에다품종소량, 맞춤형제작에활용되며제품개발및제작의비용이대폭감소된다. 3D 프린팅의다양한산업분야로의적용가능성을바탕으로점차적인시장확대가예상되며장비 / 서비스를포함한시장이 2020년 210억불규모르성장전망이예상된다. < 그림 1> 3D 프린팅모델 Layering 및 support 설계예 이와같이 3D 프린팅의다양한산업분야로의응용및미래시장에대응하기위해서는 3D 프린팅의핵심요소기술에대한이해와접근전략이필요하다할수있다. 촉표면적극대화를통한표면효과증진의제품설계기술이필요하다. < 그림 1> 과같이제조공정중 Layering과 support 설계를통해공정생산성, 치수정밀성, 빌드품의물성등을제어할수있다. 이는빌드의방향이나속도등이물성에영향을미칠뿐아니라제조공정의속도에도영향을미치는것을의미한다 [4-7]. 항공분야에서는항공부품등의요구강성을만족하면서경량화실현가능한구조설계기술이가장큰요구라할수있다. < 그림 2> 는경량 고강도항공기구조부품의예이며, Ti 합금분말을이용하여구현한제품으로고비강 3. 3D 프린팅의핵심요소기술동향 3D 프린팅기술은크게설계기술, 소재기술, 장비기술, 공정기술, 후처리기술로분류할수있다. 이들중본기고문에서는설계기술과공정기술에초점을맞추고자한다. < 그림 2> 경량 고강도항공기구조부품 ( 출처 :EOS 社 ) 가. 3D 프린팅설계기술동향기존제조공정으로는구현이불가능한형상에대한제조가 3D 프린팅에서는가능해짐에따라 3D 프린팅을위한기능성복합구조형상설계기술이 3D 프린팅의핵심원천기술로부각되고있다. 의료분야에서는체내삽입의료기기를위한기능성표면프로파일, 탄성구조제어, 복합곡면동시구현설계를통해체내식립후인체친화성, 내구성등의요구가존재하며이에대응하기위한 stress-release구조설계, 접 < 그림 3> 위상기하학기반경량 고강도설계예시 ( 출처 : laser Zentrum Nord 社 ) < 그림 4> Airbus 社경량 고강도구조부품설계 / 제작예 17 전자공학회지 _ 797

26 변기영, 이창우, 김건희, 김형균, 이병수 도가매우높은제품이다. 이와같이 3D 프린팅설계기술은기존제품의설계도면을기준으로보다효율적디자인으로변경하여구현하는방향으로발전하고있으며주로부가가치가높은다품종소량생산품을대상으로위상기하학 (topology), 생체공학응용기반경량 고강도구조설계와더불어열효율증대를위한복합구조설계기술이가장크게대두되고있다. < 그림 3> 과 < 그림 4> 는각각위상기하학을기반으로한경량 고강도설계의예시와제품의예시이다. 전술한바와같이자동차, 항공분야등에서는연료절감, 속도향상등의목적으로제품의중량감소가큰관심사가되고있으며 3D 프린팅의설계 / 디자인을통해이러한요구를만족시킬수있는충분한가능성을보여주고있다. < 그림 5> 에서는기존제품과 3D 프린팅을통해제조된제품의설계 / 디자인의차이를통해제품의중량을어느정도감소시킬수있는지에대한가능성을보여주고있다. < 그림 5> 는동일한기능에서강도를유지하면서약 41% 의중량이감소됨을보여주는예이다. 한편, 3D 프린팅제작의공정에서빌드품이무너지지않고제작과정중형상을유지할수있도록지지대 (supporter) 를설계하는것또한기존제작공정과는차별화되는부분이며매우중요한공정이다. 이러한지지대는단순히형상구현과정상의보조재역할뿐아니라제작공정상발생하는열을외부로방출할수있는통로로서의중요한기능을담당한다. 때문에지지대의개수, 방향, 크기는빌드품의물성을결정하는또하나의매우중요한변수라할수있다. 일예로이러한지지대에대한기능적 < 그림 5> 생체공학응용경량 고강도항공부품설계 [8,9] < 그림 6> 지지대설계에따른열충격크랙발생예 [10] < 그림 7> 열분산효율평가를위한지지대설계예 [11] 관점이고려되지않을경우제작과정상발생하는열응력에의한제품의균열이발생하는문제가나타날수있다. < 그림 6> 은지지대설계오류로인해열응력차단기능이부족하여제품에균열이발생한예를보여준다. 이와같이 3D프린팅형상제작중발생할수있는열응력문제에대한대응을위해서는효율적열분산기능을갖는지지대설계가매우중요하며, < 그림 7> 은그시뮬레이션이미지의예이다. < 그림 7> 의시뮬레이션이미지에서보듯지지대수가많고표면적이넓을수록열분산의효과가높고성형되는빌드품의물성에미치는영향을크게달라진다. 나. 3D 프린팅제조 ( 공정 ) 기술동향금속 3D 프린팅의경우고에너지빔 ( 레이저또는전자빔 ) 과금속분말의상호반응에따라제품성형이이루어짐으로제작과정상의공정변수제어가필수불가결하다할수있다. 공정변수로는분말의형상과크기, 분포등의조건과빔의종류에따라레이어의두께 (layer thickness), 빔의지름 (beam diameter), 빔의세기 (beam power), 초점공차 (focus offset), 점간거리 (point distance), 노출시간 (exposure time), 스캔속도 (scan speed), 에너지밀도 (energy density), 선에너지 (line energy) 등다양한변수가있다. 이러한변수들을기반으로한공정조건최적화를통해완전한성능과성형을갖는조형물을만들어낼수있으므로이러한공정조건에대한연구는매우중 798 _ The Magazine of the IEIE 18

27 금속 3D 프린팅설계기술동향 < 그림 8> 에너지원과금속간상호작용반응요소 요하다. < 그림 8> 은에너지원과금속분말의상호작용에서일어날수있는반응요소들을보인다. < 그림 8> 에서예시한바와같이반응요소에서고려할사항은 에너지가어느정도흡수될수있는지?, 기화현상은일어나지않는지?, 용융 / 응고가적정시점에서일어나는지?, 응고시수축이발생하지않는지?, 적층두께는적정한지? 등 14가지가넘는다. 금속 3D 프린팅의공정최적화를위해금속분말의 full melting조건을선정한후, SDW(Straight dense wall) 제조조건을확보, hatching distance를최적화하는과정을거치며, 이를기반으로 energy density별데이터베이스를구축하여적용함으로써공정최적화를이룰수있다. 금속분말의 full melting을위해금속분말소재에대한빔의흡수율계산값, 단위부피별금속소재가용융될수있는에너지를열역학적으로계산한값과 3D 프린터가가용한 energy density값과상호비교하여공정을선정하게된다. 일반적으로장비를구축할때, 장비제조기업이제시하는공정조건은형상을만드는것에만족되지만각부품에대한물성, 예를들어강도, 경도, 연성, 피로강도및충격강도를만족하는공정조건은아님으로구축후각장비의조건을잘이해하여최적공정조건을구축해야한다. 공정최적화를위해소재에따라기본적으로 melt pool 과 SDW에관한연구가필요하다. 최적 melt pool은에너지조사에대해분말이어느정도의깊이까지녹는지를나타내는것으로 layer thickness에따라분말을 full melting 하고단계별용융부위까지열영향부 (HAZ, Helt Affected Zone) 을형성시켜주어적정한 key hole 이형성될수있도록해준다. 또한, 하단부와의 delamination < 그림 9> 3D 프린팅공정조건별 Ti-6AL-4V melt pool 분석 [12] 을막기위한에너지조사조건을찾는것이필요하다. Gong의연구팀은 Ti-6AL-4V 합금분발로레이저파워와 scan-speed조건에따른 melt-pool 형성기구와 bead up(balling effect) 와 key hole 형성시 pore형성의가능성에대해제시하였고, 그분석결과를 < 그림 9> 에보였다. SDW는얼마나치밀한조직을최적의공정으로만들수있는가를평가하는지표로적정한 melt pool 조건으로 hatch space를넓혀각각의개별 wall을만들어기공도등결함여부와 wall의조도를평가하고최적의조건을도출하는항목이다. 이는 SLM 원천기술을보유한 realizer 社가제안한평가방법이며, < 그림 10> 은 SDW 평가를한모식도실제시험결과의단면을보여주고있다. 한편, 상기두항목에대해모두에너지밀도를공정변수로하여어느정도의에너지가분말에조사되는지를예 < 그림 10> SDW(Straight Dense Wall) 평가 (Realizer 社 ) < 그림 11> 입사된레이저에너지의분리및영향깊이 19 전자공학회지 _ 799

28 변기영, 이창우, 김건희, 김형균, 이병수 측하는것이중요하다. 3D프린팅공정에서조사에너지 (EI) 는투과에너지 (ET), 반사에너지 (ER) 와흡수에너지 (EA) 의합으로정의된다. 금속의경우투과에너지가없음으로반사에너지와흡수에너지의합으로분말의용융에필요한에너지가구성되며, 금속의경우고상의금속이액상의금속으로상변태하는데는 Heat Capacity( 열용량, HC), 용융잠열 (Heat of fusion, Hf), 상변태에너지 (Pahse Transformation, Hp) 의 3 항목의열량합으로계산된다. 이러한이론적계산은공정설계시매우중요한인자로상기식을적용하여기본공정설계를진행한다. 금속의용융에필요한최소에너지를 3D 프린팅장비에공급하기위한공정변수로에너지파워 (W) 와스캔속도 (scan speed, mm/s) 를활용한다. SLM(Selected Laser Melting) 공정에서단위당가해지는에너지밀도 (Energy Density) E(J/mm3) 는파워 P(W), 스캔속도 V, 해치공간 (hatch space) h(mm) 으로계산될수있으며, < 그림 12> 는이러한세공정변수의상관관계를보여준다. 금속용융에대한최적공정조건을보여주는기본그래프로녹색영역은용융과응고에적정한공정조건영역이라할수있고, 붉은영역은과용융, 청색영역은미용융영역으로공정에적용이어려운조건이다. 보다자세한 이론적접근과실질적데이터베이스구축방식은여러관점이있을수있으나각부품의요구물성에맞는제조공정이필요하며상기언급된이론적접근이외에도빌드품의방향성, 내부응력등이고려되어야한다. 4. 산업적실용화단축을위한제언금속 3D프린팅실용화를위해앞서언급된설계기술및제조공정기술이가장기반이되는기술이나이외에도사용하는금속분말의원소별산화방지, 분말크기제어, 불순물제어등소재기술과에너지원에따른스캔속도제어, 생산속도에중요한분말도포방식의개발, 분말회수기술등장비연계기술및잔류응력의제어, 표면조도의제어, 내부물성제어등을위한후처리기술또한중요한연계기술이다. 이미글로벌시장동향은평균 5% 이상의성장을보이고있고, 선진국에서는보잉社, GE 등이우주 / 항공분야에, conformis 社 ( 美 ), Alder Ortho 社 ( 伊 ) 등이의료분야에서각각상용화제품을출시판매하고있는현황이다. 국내에서도다양한산 학 연의기관들이다양하게 3D 프린팅의기술과제품을개발하고있는상황에서선진국의기술개발격차를좁히고독창적인 3D 프린팅제품적용을가능하게하기위해기초단계에서부터각기관이보유하고있는기술을결집하여적용할필요가있다. < 그림 12> SLM 활용가능한에너지밀도 참고문헌 [1] 미래창조과학부, 산업통상자원부, 3D프린팅전략기술로드맵, 2014,12 [2] [3] 산업통상자원 R&D전략기획단, 2016년시스템산업산업기술 R&BD전략, [4] Ravi Janardan etc. Geometric and Algorithmic Aspect of Computer-Aided Design and Manufacturing, American Mathematical Society, 2013 [5] Tom Page, Design for Additive Manufacturing-Guidelines for cost effective manufacturing, Lambert Academic Publishing, 2011 [6] G. Strano, L.Hao, R.M.Everson and K.E.Evans, A new 800 _ The Magazine of the IEIE 20

29 금속 3D 프린팅설계기술동향 Approach to the design and optimisation of support structures in additive manufacturing, The Int. J. of Adv. Manuf. Tech., Vol. 66, p.1247~1254, 2015 [7] Patent US B2 [8] C.Emmelmann, P.Sander, J.Kranz and E.Wycisk, Laser Additive Manufacturing and Bionics: Redefing Lightweight Design, Physics Procedia, Vol.12, p.364~368, 2011 [9] J.Kranz and D. Herzog and C. Emmelmann, Design guidelines for laser additive manufacturing of lightweight structures in Ti5Al4V, Journal of Laser Applications, on-line published, [10] Jukka-Pekka Jarvinen, etc, Characterization of Effect of Support Structures in Laser Additive Manufacturing of Stainless Steel, Physics Procedia, Vil. 56, p72~81, 2014 [11] F. Calignano, Design optimization of supports for overhanging structures in aluminum and titanium alloys by selective laser melting, Materials & Design, Vol. 64, p203~213, 2014 [12] H.Gong et al, 25th Annual International Solid Freeform Fabrication Symposium, 2014 이창우 2001 년 9 월 Tohoku Univ. 재료가공학 ( 박사 ) 1994 년 8 월 ~1998 년 10 월한국과학기술원연구원 2001 년 10 월 ~2002 년 7 월일본 VBL 연구소선임연구원 2009 년 3 월 ~2011 년 2 월조선대학교초빙교수 2009 년 3 월 ~ 현재 UST 겸임교수 2002 년 7 월 ~ 현재한국생산기술연구원강원본부 ( 본부장 ) < 관심분야 > 적층성형가공, 금속재료조직제어 / 분석 김건희 2010 년 8 월인하대학교기계공학과 ( 박사 ) 2005 년 9 월 ~2014 년 9 월한국생산기술연구원금형기술그룹 ( 연구원 ) 2014 년 7 월 ~ 현재한국생산기술연구원강원지역본부 ( 적층성형가공그룹그룹장 ) < 관심분야 > 3D 프린팅특화설계, 응용제품 / 부품, 후가공 변기영 2003 년 2 월인하대학교전자공학과 ( 박사 ) 2003 년 3 월 ~2005 년 6 월가톨릭대학교강의교수 2005 년 7 월 ~2016 년 7 월삼성전기수석연구원 ( 부장 ) 2016 년 8 월 ~ 현재한국산업기술평가관리원 ( 스마트전자 PD) IT 기술사 ( 컴퓨터시스템응용 ) 정보처리 / 정보통신수석감리원 < 관심분야 > 3D 프린팅, 가상증강현실, IoT, 홈정보가전, LED/ 광 ( 레이저 ) 김형균 2013 년 2 월강원대학교신소재공학과 ( 박사 ) 2013 년 3 월 ~2014 년 6 월강원대학교산업기술연구소 2014 년 7 월 ~ 현재한국생산기술연구원강원지역본부 ( 적층성형가공그룹선임연구원 ) < 관심분야 > 금속소재, 적층성형가공, 재료분석 21 전자공학회지 _ 801

30 변기영, 이창우, 김건희, 김형균, 이병수 이병수 2012 년 9 월 Tohoku University 금속재료과 ( 박사 ) 2012 년 10 월 ~2013 년 3 월 Tohoku Univ. IMR 2013 년 4 월 ~ 현재한국생산기술연구원강원지역본부 ( 적층성형가공그룹선임연구원 ) < 관심분야 > 생체재료, 적층성형가공, 공정설계 802 _ The Magazine of the IEIE 22

31 특 집 편 집 기 압축센싱을활용한통신및레이더신호처리 이흥노편집위원 (GIST 전자전기컴퓨터공학부 ) 압축센싱의주요이론은 2011 년 1 월에 Introduction to Compressed Sensing( 압축센싱소개 ) 전자공학회지를통해소개한바있다. 이이론은 2000 년대중반 IEEE 정보이론지에소개된이후로, 빠르게이미징, 레이더, 통신시스템등광범위한분야에적용되었으며, 이전에는상상도못하였던혁신기술들의집단적출현을추동하였다. 그결과로, diffraction limit 을돌파하는초고해상도레이다및분광기, Sub- Nyquist rate ADC, 측정속도가매우빠른 f-mri 머신, 렌즈없는카메라, Single-Pixel 카메라등혁신적인기술이지속적으로탄생하게되었다 년 6 월호에서는압축센싱을응용한이미징기술들을모아 Seeing Through Computation 이라는부제를붙여서동향을파악해보았었다. 본특집호에서는압축센싱기술이 Radar 에응용된연구와차량용레이더기술발전과동향을살펴보고자한다. 첫번째원고에서는, 한화탈레스강종진연구원팀이초광대역국방용레이더신호수신기술개발현황을소개한다. 수십 GHz 에주파수대역에걸쳐서산발적으로발생하는레이더신호를동시에순시할수있는 Sub-Nyquist Sampling 기반초광대역신호레이더신호수신시스템을소개한다. 특히, 수신시스템을구성하는주요요소부품과 FPGA 등을통해구현하고디지털수신기부분의개발현황을소개한다. Nyquist rate 을사용하는기존의레이다수신기에서는주파수 sweep 하는중에다른부분의주파수대역에동시에존재하는레이더신호를놓치기쉽다. 그러나, 압축센싱기반수신기를사용하면, 동시에순시가능한레이더신호의갯수를늘릴수있음을보여준다. 한화탈레스초광대역수신기개발및실증사업에는지스트이흥노교수, 지스트이민재교수, 동국대박정동교수연구팀등이참여하고있으며아래원고에서차례로연구동향을살펴보고자한다. 둘째원고에서는, 지스트이흥노교수팀이어떻게초광대역레이더신호를 sub-nyquist rate 으로실시간으로획득하는지신호처리이론적인측면에서소개한다. 셋째원고에서는동국대학교박정동교수연구팀이초광대역 Sub-Nyquist 압축센싱수신기의가장핵심이되는 HW 인아날로그수신체인및초고속 PRBS(Pseudo Random Binary Sequence) 발생기의직접화방안과, 개발현황을살펴준다. 넷째원고에서는, 지스트이민재교수팀이 FPGA 에탑재되어, 실시간처리가가능한압축센싱기반디지털신호복원알고리즘연구동향을정리해준다. Simultaneous Orthogonal Matching Pursuit 등실시간처리가가능한고속알고리즘을연구하고, FPGA 를통해실증할수있도록, HDL 로구현하는문제를다룬다. 다섯째원고에서는카이스트명로훈교수연구팀이 Inverse synthetic aperture radar (ISAR) 의영상획득에압축센싱을적용하는연구를소개한다. 일반적으로, 표적의 2 차원영상을획득하기위해서는추적레이더로부터얻은산란신호를사용한다. 그러나, 추적레이더는설치비용이비싸고제한된탐색영역을가진다. 상대적으로저렴한탐색레이더의사용을통해, 불연속적이고불충분하게습득한산란신호로부터, 압축센싱신호복원기술을적용하여 2 차원영상을성공적으로획득하는방법을소개한다. 여섯째원고에서는제주대학교고석준교수팀이차량용레이더의기술발전과동향을정리해준다. 차량의안전한운행을위해쓰이는장비는영상장비, 레이저, 또는초음파센서등이사용되고있으며, 이들은주차를돕는데긴요하게사용되고있다. 이러한장치들은그러나어두운상황이나눈비내리는안좋은날씨상황에서는좋은성능을발휘하지못한다. 차량용레이더는이러한단점을보완해줄수가있으므로, 연구개발필요성이매우크다. 끝으로연구에전념하느라바쁘신중에도원고초대에흔쾌히응해주시고, 촉박한일정에도불구하고, 기한내에원고를마감해주신저자분들과학회지편집위원님들께감사의말씀을드리고자한다. 23 전자공학회지 _ 803

32 특집 압축센싱기술을적용한초광대역수신기개발동향 압축센싱기술을적용한 초광대역수신기개발동향 Ⅰ. 서론 강종진한화시스템 ( 주 ) 강희석한화시스템 ( 주 ) 안우현한화시스템 ( 주 ) 현대전은스펙트럼전쟁 (Spectrum Warfare) 이라고표현하곤하는데, 전자기스펙트럼을효과적으로탐지및제압하는것이전쟁의승패를결정짓는주요한변수로작용하고있다. 걸프전 (Gulf war) 의예를들면, 다국적군은전쟁발발수개월전부터감시위성과조기경보기등을동원, 이라크의통신지휘망과제원, 레이더및미사일기지등에대한중요한정보를수집했다. 그리고걸프전이벌어지자대규모공습이전에먼저 EA-6B 프라울러 (Prowler) 기를비롯한전자전공격기들을출격시켰다. 전자전공격기들은이라크군의방공망레이더와미사일제어용레이더를교란시켰으며, 그결과미전폭기들은공습시에이라크군의 SA-2 미사일의공격을조기에피할수있었다. 전자전 (Electronic Warfare) 이란레이더, 통신장비등의각종전자장비를이용하여벌이는전쟁으로써, 적의전자병기사용을방해하고, 아군의전자병기사용을효과적으로수행하려는모든군사활동을이른다 [1]. < 그림 1> 은알려져있는레이다신호의주파수분포이다. 1GHz 이하부터 40GHz 까지넓은주파수범위에서다양한레이다신호들이분포되어있음을알수있다. 전자전수신기는넓은주파수대역에서출현하는레이다신호들을신속하고정확하게탐지하기위하여점차광대역의주파수대역폭성능이요구되고있다. 종래의전자전수신기들은이러한넓은대역의신호들을탐지하기위하여아날로그방식의수신기를사용하였으나, 가격이비싸고성능의열화가심하여, 아나로그-디지털변환기 (ADC, Analog-to-Digital Converter) 를사용한나이키스트이론 (Nyquist Theorem) 기반의디지털수신기로발전하였으며, 최근에는소프트웨어기술의발전으로소프 804 _ The Magazine of the IEIE 24

33 압축센싱기술을적용한초광대역수신기개발동향 < 그림 1> 레이다신호주파수분포 트웨어정의라디오 (SDR, Software Defined Radio) 수신기로발전되어왔다. 그러나이러한디지털수신기의단점은 ADC 공정기술의한계로인하여그속도를높이는데한계가있다 [2]. 대역폭을향상시키기위하여 2006년부터미국 MIT 대학교의 Donoho, Candes 등으로부터압축센싱 (compressed sensing) 이라부르는기술이소개되었으며, 많은분야에서활발히연구되고있다. 이기술은센서로부터 (ADC) 신호의획득과정에서불필요한신호정보를제외하고획득함으로써데이터량을줄이는획기적인기술이다 [3]. 본논문에서는전자전에서사용되는수신기의개요, 종류및그특성에대하여소개하고압축센싱기술이적용된초광대역수신기개발동향에대하여살펴본다. Ⅱ. 전자전수신기술 < 그림 2> 전자전수신기역할의주파수, 펄스폭, 신호세기, 변조형태등을측정하여 PDW(Pulse Descriptor Word) 형태의디지털데이터를생성하고, 생성된다수의 PDW를분석하여레이다신호분류, 식별등의기능을수행한다. < 그림 3> 은 PDW의구성항목으로써전자전수신기가측정해야할레이다신호파라미터에대한설명이다. 신호환경이복잡한경우다양한종류의레이다신호가동시에수신되며 < 그림 4> 는다수의레이다 (4개) 신호가동시에수신되는경우에 PDW가수집되는개념도이다. 서로다른주파수의펄스신호가수신주파수전대역에분포하게되므로, 전자전수신기의처리대역폭이광대역을요구하게된다. 수집된 PDW를디지털신호처리및고속의프로세서 (CPU/GPU 등 ) 를이용하여정밀분석을 1. 전자전수신기개요수신기는안테나와함께전쟁환경에서각종전자전장비들의창문역할을한다. 안테나와수신기는그유형에따라적용범위가한정되며, 운용목적과장착대상물의요구조건에따라두기능을효과적으로조합함으로써주워진성능요구사항을만족할수있다. 전자전수신기는 < 그림 2> 와같이다가오는미사일이나적기의레이다를경보해주거나효율적으로대항 (Countermeasure) 하기위하여신호탐지, 분류, 식별을수행하며전자공격 (EA:Electronic Attack) 에필요한정보를제공한다. 전자전수신기는수신되는레이다신호 ( 특히펄스 ) < 그림 3> 레이다신호파라미터 (PDW 구성항목 ) < 그림 4> PDW 수집개념도 25 전자공학회지 _ 805

34 강종진, 강희석, 안우현 수행하고, 레이다의주파수, 펄스폭, 펄스반복주기등을추출한다. 추출된정보를라이브러리와비교하여특정위협 ( 미사일, 레이다, 대공포등 ) 을식별하게된다. 2. 전자전수신기종류 [4-5] 전자전수신기의성능평가에사용되는항목은신호포착확률 (POI:Probability Of Intercept), 감도, 선택도, 오경보율등이있다. 특히, 광대역처리능력은높은 POI를요구함으로써다양한신호들을수신할수있음을뜻한다. POI가높은수신기로는크리스털비디오 (CV:Crystal Video) 수신기, 순시주파수측정 (IFM:Instantaneous Frequency Measurement) 수신기및슈퍼헤테로다인 (Super-Heterodyne) 수신기를병렬로구성한채널화수신기 (Channelized Receiver) 등이있다. 크리스털비디오수신기는가장간단한수신기형태로 < 그림 5> 와같이안테나, 검출기, 증폭기로구성되어있으며, 수신기의민감도 (sensitivity) 향상을위해 RF 증폭기를추가할수있다. 관심대역외의주파수성분을제거하기위해입력신호에대역통과필터를적용한뒤, 신호를제곱하여신호의포락선을구한다. 비디오증폭기에의해증폭된신호는이후신호처리회로에활용된다. IFM 수신기는펄스의주파수를측정하기위해개발되었으며그구조는 < 그림 6> 과같다. 입력된 RF신호를두경로나누고한경로를지연시키면주파수에비례하여위상이지연되는신호가생성된다. 두경로의신호가위상 검출기에입력되면사인및코사인신호가출력이되고, ADC 거쳐디지털주파수정보로변환된다. 측정할주파수대역이광대역인경우위상검출기의지연선을서로다르게병렬로설계하여대역폭을확장한다. 위상검출기는동적범위가낮기때문에앞단에입력신호의전력을일정하게유지시켜주는제한증폭기가장착된다. < 그림 7> 은위상검출기이다 [6]. IFM 수신기는크리스털비디오수신기대비수신감도, POI, 동적범위측면에서장점이있다. 그러나가장큰단점은주파수를다루는수신기이므로다중신호를처리할수없다는것이다. 슈퍼헤테로다인수신기는높은감도와넓은동적범위를가지나, 대역폭의제한으로광대역신호를다룰수없으므로 POI가낮다. IFM 수신기는광대역신호에대해 100% 의 POI를나타내지만다중신호와 CW 신호를처리할수없다. 이러한수신기들의단점을보완하기위해서로다른 RF 중심주파수를지닌채널을병렬로구성한채널화수신기가있다. < 그림 8> 은채널화수신기의구조이다. 각대역별 RF 분배기, 국부발진신호원, 대역통과필터및기저대역신호처리부로구성된다. 이상으로살펴본수신기는광대역특성이좋아 POI 측면에서유리하지만신호분석을위한 PDW 측정시모든부분을만족할수없으므로통상여러종류의수신기 < 그림 7> 위상검출기 (Phase Correlator) < 그림 5> 그리스털비디오수신기 < 그림 6> 순시주파수측정수신기 < 그림 8> 채널화수신기 806 _ The Magazine of the IEIE 26

35 압축센싱기술을적용한초광대역수신기개발동향 를조합하여시스템을구성한다. 최근에는아나로그처리 (conditioning) 를포함한고속의아나로그-디지털변환기 (ADC) 및 FPGA(Field Programmable Gate Array) 를이용한디지털수신기가적용되고있다. 디지털수신기의순시대역폭을확장하기위해서는 ADC의샘플링속도를높이면가능하지만현재사용가능한상용 ADC 는 10~12bit 해상도에서코어당 2.5 GHz가한계이다. 이러한고해상도 ADC의샘플링속도한계를극복하기위하여 10GHz 이상의단일비트 (Mono-bit) 칩을이용한수신기가개발되었으나양자화오차로인한스퓨리어스신호증가로동적범위성능이나쁘고, 동시신호처리성능이좋지않다. Ⅲ. 초광대역압축센싱수신기개발동향 Agency) 의 AIC(Analog to Information Converter) 프로젝트를통해서 < 그림 10> 과같이주문형반도체 (ASIC) 기반의 Sub-Nyquist 샘플링하드웨어를제작하였다. RMPI 칩은약 2 GHz 내에존재하는레이더펄스신호를 5GHz로동작하는 PRBS 발생기와광대역믹서및적분기를이용하여기저대역신호로압축하였다. RMPI 칩의성능확인을위하여 14bit 해상도를갖는상용 ADC를사용하였으며, 샘플링클럭은약 80MHz를사용하였으며, 12배이상의서브나이키스트성능을달성하였다. 대상신호는레이더펄스이며신호가중첩된신호환경에서펄스파라미터추출성능을컴퓨터시뮬레이션을통하여제안하였다. Ⅵ. 결론 가. MWC(Modulated Wideband Converter) [7] 이스라엘 Technion 대학교의 Eldar 교수는압축센싱이론을인지무선 (CR) 분야에적용하였으며, 프로토타입의하드웨어를개발하였다. MWC는주파수대역약 1 GHz 내에존재하는다양한무선통신신호를처리하기위하여그림 9와같이초고주파 (RF) 입력과 4개의광대역믹서및저역통과필터를사용하여기저대역신호로변환하는 MWC를개발하였다. 그리고압축을위한국부발진신호원으로상용플립플롭을링형태로묶은 PRBS 생성기를제작하였다. 나. RMPI(Random Modulation Pre-Integrator) [8] 미국 Caltech 대학교 Azita 교수는고등연구계획국 (DARPA : Defense Advanced Research Projects 전자기스펙트럼을사용하는위협신호들의종류와목적이다양화됨에따라전자전수신기가탐지하고식별해야하는신호의주파수범위가광대역화되고있다. 현재의 ADC의발전속도를고려할때기존의디지털수신기술로수십 GHz의광대역을커버하기는불가능하다. 현재압축센싱기반 Sub-Nyquist 샘플링기술에관련된선진국수준이 2 GHz의이하의처리대역폭성능을프로토타입형태로제작된수준이다. 압축센싱하드웨어를구성하는핵심부품인광대역믹서는수십 GHz를커버하는상용부품이출시되어있고, PRBS 신호발생기또한특수공정을사용하여수십 GHz 속도로설계및제작된논문들이발표되고있다. 국내에서도광대역믹서및 PRBS 발생기, 그리고관련신호처리기술에대한연구가활발하게진행되고있으며, 수년이내에수십 GHz 처리대역폭 < 그림 9> MWC 블록도및프로토타입하드웨어 < 그림 10> RMPI 블록도및프로토타입하드웨어 27 전자공학회지 _ 807

36 강종진, 강희석, 안우현 을갖는압축센싱기반초광대역수신기연구결과가발표될것으로기대된다. 참고문헌 [1] Andrea De Martino, Introduction to Modern EW systems, Artech House, 2012 [2] James B. Tsui, Special Design Topics in Digital Wideband Receivers, Artech House, 2010 [3] 이흥노, 박상준, 박순철, 압축센싱소개, 전자공학회지, 2011 [4] Richard G. Wiley, ELINT : The Interception and Analysis of RADAR Signals, Artech House, 2006 [5] 나선필, 송규하, 전자전수신기기술발전동향, 국방과학기술플러스, Vol. 226, 2015 [6] William Sullivan, Gauging Limitations On DFD Performance, [7] Y. C. Eldar and G. Kutyniok, Compressed Sensing: Theory and Applications, Cambridge University Press, 2012 [8] Juhwan Yoo 외 10명, A Compressed Sensing Parameter Extraction Platform for Radar Pulse Signal Acquisition, Ieee Journal on Emerging and Selected Topics in Circuits and Systems, vol. 2, no. 3, 2012 강종진 2003 년동명대학교정보통신공학과학사졸업 2005 년동명대학교정보통신공학과석사졸업 2016 년현재한화시스템 ( 주 ) 전자전연구센터전문연구원 < 관심분야 > 디지털수신기, 전자전신호처리 강희석 1990 년충남대학교컴퓨터공학과학사졸업 1992 년충남대학교컴퓨터공학과석사졸업 2016 년현재한화시스템 ( 주 ) 전자전연구센터수석연구원 < 관심분야 > 전자전신호처리, 전자전소프트웨어 안우현 2012 년충북대학교전자공학과학사졸업 2014 년충북대학교전자공학과석사졸업 2016 년현재한화시스템 ( 주 ) 전자전연구센터주임연구원 < 관심분야 > 전자전신호처리, 무선통신시스템 808 _ The Magazine of the IEIE 28

37 특집 압축센싱과광대역레이더신호획득기술 압축센싱과광대역레이더 신호획득기술 Ⅰ. 서론 박정광주과학기술원전자전기컴퓨터공학부장재혁광주과학기술원전자전기컴퓨터공학부이흥노광주과학기술원전자전기컴퓨터공학부 기존의통신 / 신호처리분야에서 Shannon-Nyquist Sampling 이론기반의 Analog-to-Digital Converter(ADC) 는아날로그신호를디지털신호로샘플링하는장치이다. 그리고이 Shannon-Nyquist Sampling 이론은신호의최대주파수의 2배이상의 sampling rate로표본화할경우, 왜곡없이신호를복원할수있다는것이다하지만, 점차연구들이다양한신호들을다루면서 Shannon- Nyquist Sampling 이론이제시한기준은신호처리분야에서는큰한계로변모하였다. 대표적으로레이더신호의경우매우넓은범위의주파수대역을이용한다 [1]. 즉, 레이더신호가갖는최대주파수는매우높은값을지닐수있으며, 이때신호를디지털화하기위해서는최대주파수의 2배인 Shannon-Nyquist Sampling rate만큼의매우높은표본율을지닌 ADC가요구된다. 하지만, 지금까지개발된가장우수한성능을갖는 ADC의표본율조차 Shannon-Nyquist Sampling rate 에비하면매우느리다. 그리하여, 광대역신호를획득하기위하여신호가존재하는넓은주파수대역을일정간격으로분할하고각각분할된대역마다레이더를순차적으로수집하고복원하는방식을통해광대역신호를획득하는방법이제시되었다 [2]. 하지만, 이방법은분할된시간영역마다신호수집하고처리하기위한장비들과컴퓨터연산이필요하기때문에하드웨어및소프트웨어모두많은비용이발생된다. 또한, 시간에따라순차적으로분할된영역을처리하면한번신호를탐지하고복원한후에, 다음번의분할된시간영역에는이전까지존재하던신호가사라져신호를탐지하지못하는경우가발생한다. 그리하여, 이러한한계점을극복하기위한연구들이진행되었으며압축센싱이론이이러한문제의해결책으로제시되었다. 즉, 압축센싱 29 전자공학회지 _ 809

38 박정, 장재혁, 이흥노 기술에따르면특정한조건하에서 Shannon-Nyquist Sampling rate보다도훨씬낮은표본율로광대역레이더신호를왜곡없이획득할수있다. 하지만, 압축센싱기술을적용하기위해서는해당신호가특정기저 (basis) 에서성긴신호 (sparse signal) 이여야한다. 성긴신호란해당기저에서대부분신호의값은 0이고, 나머지몇몇의위치에서만신호값이 0이아닌크기를지니고있는신호를말한다. 일정시간동안여러레이더신호가존재할때, 이신호의스펙트럼은광대역에서몇개의일정한크기의 band를갖는성긴신호이므로압축센싱을적용하여시간에따라분할된대역마다신호를처리하지않고도, 한번에 ADC를통해신호를획득하는시스템을구현하는것이가능하다 [4]. 본논문에서는 Ⅱ장을통해압축센싱에대해서간략히소개하고, Ⅲ장에서압축센싱이론을바탕으로광대역레이더신호를획득하기위한시스템및레이더신호복원과정에대하여소개한다. 여기서는원래의성긴신호를나타내고, 는측정행렬, 그리고는측정된신호를나타낸다. 압축센싱은인경우를다룬다. 즉, 압축센싱은원래신호를이보다훨씬더짧은길이로압축된측정된신호를통해서획득할수있다는것을의미한다. 여기서의손실없음은식의개수가미지수의개수보다적은상황에서해를찾는 Under-determined 문제에서성공적으로 y로부터 x를복원하는것을나타낸다. 또한, Under-determined 문제를압축센싱을통해해결하기위해서는시스템을나타내는측정행렬 A가특정조건을만족해야한다. 논문 [3] 에서, Candes와 Tao는측정행렬 A가 Restricted Isometry Property(RIP) 조건을만족할경우압축센싱방식을통해높은확률로원래신호를왜곡없이복원가능하다고제시하였다. RIP 조건을나타내는식은다음과같이표현된다. (2) Ⅱ. 압축센싱 본장에서는압축센싱의개념에대하여간략히소개한다. 우선압축센싱기법을적용하기위해서는신호가 K-sparse 신호이여야한다. 여기서 K는신호의벡터에서 0이아닌값을갖는원소의개수를나타낸다. 자연에존재하는대부분의신호는성긴신호로써, 그자체로도압축센싱을적용할수있으나, 그렇지않은경우는성긴신호가아닌 s신호를 x = Bs와같이특정한변환행렬 B를통해성긴신호 x로변환할수있다. 예를들어, 시간축에따라일정한값을갖는 DC신호가존재할때, 이신호는시간축에서성긴신호가아니다. 하지만, 이신호를푸리에변환을통해주파수신호로변환한다면, 이변환된신호는 0 주파수에서만값이존재하는성긴신호로표현될수있다. 압축센싱의핵심은아래의식의관계에서, 측정된신호 y로부터원래의성긴신호 x를복원하는것이다. y = Ax (1) 식 (2) 에서을만족하는가존재할경우측정행렬 A는 RIP 조건만족한다. 이 RIP 조건은측정행렬이원래신호 x의에너지를균일하게 y로조사하는행렬이여야한다는것을의미한다 [5]. 반대로, 원래신호 x 를복원하기위한정보를갖는 y가 x의에너지를균일하게모두갖고있지않은채일부분을손실한상태라면, y 를통해 x를복원할경우왜곡이생길수밖에없다. 일반적으로인 Under-determined 문제에서해는무수히많다. 반면에, 압축센싱에서는측정행렬이 RIP조건을만족하는경우에 L0-norm 최소화를이용하여유일하게존재하는해를구할수있다. 여기서, L0- norm 최소화는측정행렬 A와측정된결과인 y를알고있는경우, 모든 x중에서 0이아닌원소의개수가최소인 x 를찾는것이다. 하지만, 이는 NP-hard 문제로써구현하기에는적절하지못하다. 따라서이후 L1-norm 최소화를이용한복원방법이제안되었지만계산복잡도가약 O(N 3 ) 로서원래신호의길이 (N) 가매우길경우계산복잡도가지나치게높아서구현에한계점을안고있다 [3]. 따라서압축센싱분야에서는신호를복원하기위 810 _ The Magazine of the IEIE 30

39 압축센싱과광대역레이더신호획득기술 < 그림 1> 광대역다중레이더신호획득시스템해 Least Shrinkage and Selection Operator(LASSO) [6], Least Angle Regression(LARS) [7], Orthogonal Matching Pursuit(OMP) [8] 등과같은알고리즘들이제시되고있다. Ⅲ. 레이더신호획득기술 광대역에걸쳐져있는레이더신호들을획득하는경우, 압축센싱원리를바탕으로한 Random Demodulator (RD) [9], Random Modulation Preinteger(RMPI) [10], 그리고 Modulated Wideband Converter(MWC) [4] 등의시스템들을통해서높은확률로신호를획득하는기술들이제시되어왔다. 본논문에서는이들가운데에서 MWC 시스템을이용하여광대역에서다중레이더신호를획득하는방법에대해서논하겠다. 1. RSSR 시스템우선, MWC 시스템을이용하여광대역에서다중레이더신호를획득하는방법을설명하기에앞서서, 기존의광대역신호를탐지하는방식인 Rapidly Swept Super- Heterodyne Receiver(RSSR) 에대해소개한다. 이는신호가존재할수있는넓은주파수영역을일정간격으로나누고, 한번의관측시간동안분할된일부분의주파수영역만을관측하고다음관측시간동안그옆의조각난주파수영역을관측하는방식이다 [2]. 이러한기존의방식은한번분할된주파수영역을관측및복원후다음차례의주파수부분을관측할경우, 관측구간이바뀜에따라기존에존재하던신호가사라지거나다른신호로대체되는문제점을안고있다. 그리고만약이문제점을해결하기위해, 위의방식을이용하여매주파수영역마다동 시에관측하고한번에복원한다면, 첫째로나뉜주파수대역폭개수에비례해서무수히많은하드웨어가반복되어요구되고, 둘째로는지나치게많은연산량을이필요하게됨에따라신호를복구하는데엄청난시간을소모하게된다. 이와는달리 MWC시스템 [4] 의핵심은이러한문제점을해소하고, 한번의관측시간안에넓은주파수영역모두를관측및복원하는방법이다. 2. MWC 시스템일정관측시간동안몇개의레이더신호가존재하는경우, 레이더신호들의스펙트럼들은넓은주파수대역걸쳐서산재된신호로나타낼수있으며, 각각의스펙트럼의대역폭의크기는일정하며 B이하라고가정한다. < 그림 1> 은레이더신호가 R = 3개인상황에서레이더신호들을획득하고복원하기위한시스템을나타낸다. 그리고관측된레이더신호는실수신호로써레이더스펙트럼은양과음의주파수에대칭으로존재하게된다. 다시말하면, < 그림 2> 에서나타낸바와같이 R = 3인경우, 레이더신호가존재할수있는넓은스펙트럼에서각각일정한대역폭을갖는 6개의스펙트럼이희소하게산재되어있으므로압축센싱이론과 Modulated Wideband Converter(MWC) 시스템을통해레이더신호를복원해낼수있다. MWC시스템은 < 그림 3> 와같이구성된다. 관측시간동안 MWC 시스템은 R개의레이더신호가혼합된신호를입력받는다. 우선, 혼합된레이더신호는 Mixer에서 Pseudo Random(PR) 시퀀스와곱해진다. 이 PR 시퀀스는시간축에따라서 ±1의값을랜덤하게갖고있으며 T p 주기로반복되고, 스펙트럼관점에서는 impulse train으로써매 tone마다다른계수를갖고 impulse가간격으로나열된형태를갖는다. 그리고 PR시퀀스와곱해진신호는의 band-width < 그림 2> 다중레이더신호스펙트럼 (R = 3) 31 전자공학회지 _ 811

40 박정, 장재혁, 이흥노 를갖는 Low Pass Filter(LPF) 를거친다. 이일련의두과정가운데우선 Mixer를통해, < 그림 2> 와같은레이더스펙트럼은 PR 시퀀스와곱해진후, 주파수축을따라간격으로각각의 PR 시퀀스의계수들이곱해진체이동하면서반복되게된다. 그리고필터를통하면, 간격으로반복되던스펙트럼의가운데부분만이 LPF의결과로써나오게되며, 이안에는전체주파수축을따라존재하던모든 sub-band들이하나씩들어있다. 즉, 레이더스펙트럼이포함된 sub-band들도 LPF를통해모인 base-band 부분에모두존재한다. 따라서신호는 < 그림 2> 에서실선으로구분한것처럼주파수축이간격으로분할된 N개의 sub-band들로구성된형태로생각할수있다. 그리고앞서가정한바와같이각각의스펙트럼의대역폭은 B를넘지않으며 B 라고가정하면각각의스펙트럼은최대 2개의 sub-band까지걸쳐서존재할수있다. 그리고앞서처리된신호를 Analog-to-Digital Converter(ADC) 를통해디지털신호로변환시킨다. 이때 ADC의 sampling-rate는와같은값을갖는로서기존의 Shannon-Nyquist Sampling rate에비해훨씬낮은값이다. 즉, 이는레이더신호가존재할수있었던영역에서레이더스펙트럼이위의과정을거쳐 base-band로모였기때문에필터다음에위치한 ADC에서는크기의주파수영역만을처리하면된다는것을의미한다. 다시말하면, Shannon-Nyquist Sampling 이론에따라 ADC를적용할시에는가의값을가져야되지만, MWC시스템의과정에서 ADC의는의 sampling-rate만으로도광대역레이더신호를표본화할수있다. < 그림 3> MWC 시스템구성도 [4] 과 일련의 Mixer, LPF, 그리고 ADC 를거쳐시스템의결 가생성되며, 이는매번째채널을통해총 M 개의 채널마다반복되어얻어진다. 다중채널을사용함에따 라일련의하드웨어들이채널수만큼필요하게되지만, 이는앞서 Ⅲ-1 절에서소개한 RSSR 방식에비해훨씬 적은하드웨어양이다. 그리고 MWC 시스템이측정한결 과와이미알고있는설계된시스템의특성을추가적인 디지털신호처리와복구알고리즘에입력하여레이더신 호들을복원할수있다. 3. 레이더신호복원 는 MWC시스템의결과인은시간축에따라값을갖 크기의벡터행렬이며, 여기서은신호의관측시 간이 ADC 의셈플링에의해디지털화된길이이다. 그리 고다중채널을통해총 M 개의을모아서측정 행렬을구성할수있다. 즉, M 개의채널은 M 개의측정 벡터를생성해내며, 이는레이더신호들을찾기위한정 보를 M 배더증가시켜서복구에도움을준다 [4]. 따라서입력레이더신호 Z 가다중채널을갖고있는 MWC 시스템행렬 C 를통해결과 Y 를생성하는관계를 표현하면다음과같다. Y = CZ (3) 여기서,, 그리고입력신호 Z는의크기를갖는행렬이다. 그리고 Ⅲ-2 절에 서설명한바처럼 Z 행렬은입력레이더가존재하는주파 수영역이 N 개의 sub-band 로구성된형태처럼 N 개의 row 들을가지고있으며, 각각의스펙트럼의대역폭은 B 를넘지않으며 의가정에의해각레이더의스펙 트럼은 N 개의 row 들가운데연이은 2 개의 row 들에위치 해있다. 또한, Z 행렬의열의개수인은관측시간이 ADC 의셈플링에의해디지털화된길이를나타낸다. 그리고 Mixer 에서한주기 동안 PR 시퀀스의패턴개수가 N 이므로, 한채널에서시스템행렬 C 또한각 sub-band 들에대응되는 N 개의값들로써표현될수있다. 즉, 한 채널의 MWC 시스템은 1 N 크기의벡터로써표현될수 있으며, 이또한매채널마다합성하여결과적으로 M N 812 _ The Magazine of the IEIE 32

41 압축센싱과광대역레이더신호획득기술 크기의시스템행렬 C를구성할수있다. 여기서매채널의시스템특성을나타내는벡터는 PR 시퀀스가랜덤하기때문에채널마다모습이다르다. 그리고 MWC 시스템에의한관계식 (3) 은식 (1) 에서설명한압축센싱의모델에서 y와 x가벡터인것과는달리행렬의모습을갖고있으며, 이러한형태를 Multiple Measurement Vector(MMV) 라고한다. 그리고여기에서이며이는앞서압축센싱에서언급한형태의문제이다. 따라서우리는다중레이더신호의정보를복구하기위해서 MWC시스템을통해획득한 Y행렬과시스템을설계하는것을통하여이미알고있는 C행렬을압축센싱알고리즘중하나인 OMP의입력으로하여 supports set을찾는다. 여기서, supports set은 N개의 sub-band들가운데레이더신호의스펙트림이존재하고있는위치이며, 이는입력레이더신호에대응되는 Z행렬의 N개의 row들가운데에서레이더신호를나타내는정보가담긴 row의위치이다. 또한, OMP를통해찾은 supports set은시스템행렬 C의 column위치에대응되며, 이와같은 supports set의집합을집합 S 로정의한다. 따라서집합 S에대응되는 column들을추출하여구성한행렬 C S 에 Moore-pseudo inverse를취한결과의오른쪽에 Y 행렬을곱하여 Z S 행렬을얻는다. 그리고이 Z S 은레이더신호의스펙트럼을나타내고있으며, 이와같이복구한신호의스펙트럼을통하여입력레이더신호들에대응되는복구된신호인 x[n] 을얻을수있다. 결론적으로, 이는 < 그림 4> 에서확인할수있는바와같 < 그림 4> 시간에따른실제레이더신호와복구된신호파형 (R=3) 이 MWC시스템을이용하여광대역에서성공적으로다중레이더신호를복원함을의미한다. Ⅵ. 결론 본논문에서는압축센싱이론에근거하여 Shannon- Nyquist Sampling rate보다낮은 sampling-rate로광대역에서다중레이더신호를복원하는기술에대해서논하였다. 전통적인광대역신호탐지방식과는달리, MWC 시스템을통해시간에따라레이더신호를놓치지않고더적은하드웨어를사용하여성공적으로레이더신호의획득이가능하다. 그리고복구한신호에서레이더신호가도착했던시각, 존재한시간, carrier 주파수등의추정기법 [11] 을적용한다면해당레이더의사용목적이나발신지등을추정할수있으며이를통해다양한민. 군분야에유용하게이용될수있을것이다. 감사의글 본논문은 2016년도한화탈레스 ( 주 ) 의재원을지원받아수행된연구임. 참고문헌 [1] Mark A. Richard, James. A. Scheer, Principles of modern radar, SciTech Publishing, [2] J. Tsui, Digital techniques for wideband recievers, SciTech Publishing, [3] Emmanuel Candes, Justin Romberg, and Terence Tao, Robust uncertainty principles: Exact signal reconstruction from highly incomplete frequency information, IEEE trans, on Information Theory, 52(2) pp, , Feb, [4] M. Mishali, Y. C. Eldar. From Theory to Practice: Sub-Nyquist Sampling of Sparse Wideband Analog Signals, IEEE journ. in Signal Processing, Vol. 4, No.2, pp , Apr, [5] 이흥노, 박상준, 박순철, 압축센싱소개, 대한전자공학회지, 전자공학회지 vol. 38, no.1, pp.19-30, Jan, [6] RoBer Tibshirani, Regression Shrinkage and Selection via 33 전자공학회지 _ 813

42 박정, 장재혁, 이흥노 the LASSO, Journal of the Royal Statistical Societry, Series B (Methodological), vol.58, no.1, pp , [7] B. Efron, T. Hastie. I. M. Johnstone, and R. Tibshirani, Least angle regression. The Annals of Statistics, vol. 32, no.2, pp , [8] J. A. Tropp and A. C. Gilbert, Signal recovery from random measurements via orthogonal matching pursuit, IEE Trans. Info. Theory, vol. 53, no. 12, pp , Dec, [9] J. Tropp, J. Laska, M. Duarte, J. Romberg, and R. Baraniuk, Beyond nyquist: Efficient sampling of sparse bandlimited signals, IEEE Trans. Info. Theory, vol. 56, no. 1, pp , [10] J. Yoo, S. Becker, M. Loh, M. Monge, E. Candes, and A. Emami-Neyestanak, A 100MHz - 2GHz l2.5x subnyquist rate receiver in 90nm CMOS, IEEE in Radio Freq. IC Symposium (RFIC), pp , Jun, [11] Juhwan Yoo, C. Turnes, E. B. Nakamura, Chi K. Le, S. Becker, E. A. Sovero, M. B. Wakin, M. C. Grant, J. Romberg, A. Emami-Neyestanak, and E. Candés, A Compressed Sensing Parameter Extraction Platform for Radar Pulse Signal Acquisition, IEEE journ. in circuits and systems, vol. 2, no. 3, Sep, 장재혁 2014 년 2 월금오공과대학교전자공학부 ( 학사 ) 2016 년 2 월광주과학기술원정보통신공학부 ( 석사 ) 2016 년 3 월 ~ 현재광주과학기술원전자전기컴퓨터공학부박사과정 < 관심분야 > Sub-Nyquist sampling, 압축센싱 이흥노 1993 년 6 월 UCLA 전기전자공학 ( 학사 ) 1994 년 12 월 UCLA 전기전자공학 ( 석사 ) 1999 년 12 월 UCLA 전기전자공학 ( 박사 ) 1999 년 ~2001 년 Hughes Research Lab. 연구원 2002 년 ~2008 년 University of Pittsburgh, Pittsburgh, U.S.A 조교수 2012 년 ~2013 년전남대학교병원객원교수 2009 년 ~ 현재광주과학기술원부교수, 교수 2015 년 ~ 현재광구과학기술원연구처장 2016 년 ~ 현재광주과학기술원 GTI 단장 < 관심분야 > 압축센싱, 정보이론, 머신러닝 박정 2015 년 2 월경북대학교전자공학부 ( 학사 ) 2016 년 3 월 ~ 현재광주과학기술원전자전기컴퓨터공학부석사과정 < 관심분야 > Sub-Nyquist sampling, 압축센싱, 무선통신 814 _ The Magazine of the IEIE 34

43 특집 실리콘공정기술기반초고속압축센싱수신기의집적화연구 실리콘공정기술기반 초고속압축센싱수신기의 집적화연구 Ⅰ. 서론 박정동동국대학교전자전기공학부박준식한화탈레스 압축센싱이란원신호가일정조건을만족하는산재 (Sparsity) 신호인경우에 PRBS (Pseudo Random Bit Sequence) 신호와같이 Restricted Isometry Property (RIP) 조건에충실한불규칙한센싱행렬을신호의측정에이용함으로써 Nyquist 표본화주파수이하에서도원신호의완벽한재생이가능하다는신호처리이론이다 [1]. 이러한압축센싱이론은무선통신분야의무선채널추정, 광대역신호를이용한고해상도레이더신호처리, 의료영상신호처리등, 다양한분야에서신호처리시스템의고속화, 최적화, 간략화를위해서활발한연구가진행중이다. 대역제한된원신호를손실없이복원하기위해서신호가가지는최대주파수의두배이상으로샘플링을취해야한다는 Nyquist 표본화정리를바탕으로초고주파혹은밀리미터파대역에존재하는원신호에대한실시간디지털신호처리를위해서는매우높은표본화주파수를가지는아날로그-디지털변환기 (ADC) 를필요로하는데, 표본화주파수와 ADC의소모전력은비례하기때문에수 GHz 이상의입력신호를표본화하는초고속 ADC의구현은소자의스위칭성능을차치하더라도회로의소모전력을고려했을때적합하지않다. 따라서광대역레이더신호의수집과같이초고주파대역이상에서에서수 GHz 이상대역폭이되는신호를실시간처리하는하드웨어로서압축센싱수신기가각광받고있다. 압축센싱이가능하기위해서는입력신호가산재성 (Sparsity) 을띄어야하고, 산재신호를압축적으로표본화를취하여손실없이복원하기위해서는신호를취할때사용하는센싱행렬이원신호와비정합 (incoherence) 적인특성을가져야한다. MIMO, UWB 등의광대역 35 전자공학회지 _ 815

44 박정동, 박준식 무선통신시스템의채널임펄스응답, 레이더신호등과같은인위적인신호는산재성을가지고있기때문에압축센싱을적용할수있는것으로알려져있다. RIP 조건에충실하여압축센싱수신기에보편적으로사용되는센싱신호는의길이를가지는 PRBS 신호즉, m-sequence 이다 [2]. 압축센싱에관련일련의이론적인여러성과를바탕으로, 최근에는 3GHz 내외의 RF 영역에서레이더실시간신호감시등에사용되는집적화된압축센싱수신기들이보고되고있다 [3-5]. 본논문에서는수신대역이 10 GHz 이상인초고주파및밀리미터파대역에서상용실리콘공정기술을이용하여압축센싱용수신기를집적화구현하는데필요한기술적인고려사항을살펴보고자한다. Ⅱ. 본론 1. 실리콘공정기반초고속집적회로 가. 최신실리콘소자의성능및공정특성실리콘기반반도체공정으로밀리미터파회로를구현하기위해서는차단주파수 ( ) 와최대공진주파수 ( ) 로표현되는초고속소자의성능지수 (FoM) 가우수해야한다. 최신공정노드에서실리콘소자의 FoM는이제초고속화합물반도체와비견할수있다. IBM은 2013년부터차단주파수 = 300 GHz, = 360 GHz 인 90nm SiGe BiCMOS 공정파운더리를제공하고있으며 [6], 삼성전자는 2014년부터 = 280GHz, = 400GHz 인 28nm RF CMOS Foundry 를공급하고있다 [7]. 실리콘공정은화합물공정에비해소자모델이정확하고공정의안정성또한높기때문에시스템레벨의전집적화가가능하고, 대규모설비투자로인해서양산성이극대화되어있다. 현재 4세대무선통신칩의송수신전단부가전력증폭기를제외하고 CMOS 공정기반으로집적화양산되고있으며, 60 GHz 무선LAN (IEEE ad), 5G 무선통신송수신기와같은밀리미터파대역송수신기 의집적화개발도활발히진행되고있다. 나. 초고속 ADC의기술적인제약사항실리콘기반반도체공정기술의비약적인발전에도불구하고초고주파혹은밀리미터파대역의광대역신호를 Nyquist 표본화이론에근거하여직접디지털신호로변환하는일은기술적으로난제이다. < 그림 1-(a)> 와같이공정기술의비약적인발전에따른변환에너지는 0.5 배 /1.9 년의경향성을가지고있으나, < 그림 1-(b)> 에나타난바와같이해상도-속도개선은이에훨씬미치지못하는 2 배 / 3.6 년의추세로발전하고있다 [8]. 따라서허용가능한소모전력을고려했을때 10 GHz 이상대역의신호를직접변환하는초고속 ADC의개발은기술적으로어려운문제이다. 최근샘플링회로기술및 ADC 아키텍쳐의발전으로 10Gb/s이상의 Interleaved ADC가 ENOB 6bit 이하에서보고되고있지만 [9-10], 레이더신호감시나광대역차세대무선통신응용과같이초고주파 / 밀리미터파대역에서수 GHz 이상의광대역신호를실시간처리하기위해서는압축센싱수신기의집적화구현이절실하다. < 그림 1> (a) 보고된 ADC 의년간변환에너지추세 (b) 보고된 ADC 의년간해상도 - 속도개선추세 [8] 816 _ The Magazine of the IEIE 36

45 실리콘공정기술기반초고속압축센싱수신기의집적화연구 보다같거나크면압축센싱이가능하도록 PRBS 클록속도를낮출수있고, IQ 하향변환을통해서샘플링에필요한주파수대역을반으로줄임으로서수신기에서필요한독립된 Branch 개수를줄일수있도록했다. 그러나 QAIC 수신기는 Quadrature 구조의주파수변환을 2 회거쳐야하므로구조가복잡하고이에따른불요파혼합문제를고려해야한다. 또한입력신호의대역폭이상대적으로넓은 ( ) 경우는저속의 PRBS를사용할수가없다. < 그림 2> 다중 Branch 로구현된압축센싱수신기의구조 (a) Random Modulator Pre-Integrator (RMPI) [3], (b) Modulated Wideband Converter (MWC) [4] 2. 압축센싱용초고주파광대역수신기 가. 압축센싱수신기의구조압축센싱수신기는기본적으로입력신호를증폭하고, 이를 PRBS 신호와시간축에서곱하는역할을한다. 기저대역신호를표본화하는후단부 ADC에들어가는입력신호의처리방식에따라서 < 그림 2-(a)> 와같이구간의시간동안적분기를이용하여 integrateand-dump sampling으로구현한 RMPI [3],[11] 이있으며, < 그림 2-(b)> 처럼적분기를대신하여표본화주파수를가지는통과대역주파수가 /2인아날로그저역통과여파기 (LPF) 를 ADC 입력단에사용하여구성된 Modulated Wideband Converter (MWC) [4] 방식이잘알려진구조이다. RMPI 수신기는 MWC 수신기와비교해서입력되는아날로그신호를센싱하는데있어서상대적으로큰측정행렬을필요로한다 [4]. 최근에는 MWC를기반으로하여입력신호의중심주파수증가에따른 PRBS의동작주파수가증가하는문제를해결하고입력대역폭내의신호만을샘플링하도록하여압축센싱의변환효율을높이는 QAIC 수신기가개발되었다 [5]. 본방식은가되도록 LO1을통해서 1차주파수하향변환하여 PRBS 를구동하는클록주파수 ( ) 가대역폭 나. 초고속압축센싱수신전단부와 ADC의동기화다중협대역으로구성된산재한입력신호가 PRBS신호와혼합하면모든협대역성분이혼재 (aliasing) 된상태로기저대역으로주파수변환된다. 입력된협대역신호의최대대역폭이라고하면, 인표본화주파수로동작하는후단부의 ADC를이용하여기저대역의신호를표본화한후에압축센싱복원알고리즘을통해서원신호를손실없이복원할수있다. 이때올바른복원을위해서 PRBS의고속 CLK과 ADC의샘플링주기의동기화가중요하다. 이를위해서 PRBS의클록신호를리플카운터를사용하여주파수를낮춘후에 ADC 의클록신호가되도록구현하면두블록간의동기화를이룰수있다. 한편 ADC와 PRBS 발생기클록이집적화되지않은경우에도 PRBS의주기성을이용해서 (n=0,1,2,...) 만큼시간지연된신호에대하여수신단전단부와 ADC를동기화할수있다. 두신호간의정밀한동기화를위해서는클록신호의 jitter 잡음이최소화되어야한다. jitter 잡음은리플카운터의비트수가증가할수록커지기때문에, 압축비의설정시이러한부분도종합적으로고려해야한다. 다. 압축센싱수신기의설계초고속압축센싱수신기를집적화구현하기위해서는광대역신호를증폭하는광대역저잡음증폭기 (LNA), 증폭된신호를주파수혼합기의 RF 단으로구동하는 RF 구동증폭기, LO 신호를증폭하고주파수혼합기의 LO 단을통해서혼합기의스위치를구동하는 LO 구동증폭기, 37 전자공학회지 _ 817

46 박정동, 박준식 하향변환된 IF 신호를증폭하여 ADC에서샘플링이가능하도록하는 IF 증폭기, 그리고 m-sequence를생성하는초고속 PRBS 발생기로구성할수있다. CMOS 소자및회로기술의지속적인발전에따라서이러한블록의집적화구현은서브테라헤르츠대역의송수신기구현에까지진행되고있다 [12]. 따라서본항에서초고주파및밀리미터파대역수신기에대한고려사항은압축센싱수신기에서사용되는 PRBS 신호에의한영향을중심으로기술하고자한다. 일반적인 RF 수신기는 RF 입력신호를하향주파수변환할때를가진정현파를사용한다. 이에반해압축센싱수신부는산발적인특성을가지는광대역입력신호를주파수혼합기의 RF 단에입력하고주기 T P 를가지는의사불규칙이진수열를 LO 구동신호로사용함으로써두신호를시간영역에서곱을취해준다. 이때, PRBS 발생기의출력신호의스펙트럼은의길이를가지고반복적인패턴을형성하는 m-sequence이므로, 주파수의하모닉성분으로구성된풍부한선스펙트럼으로구성되며아래와같이표현할수있다 [13]. (1) 여기서이다. 식 (1) 과같이 LO 신호는동일전력의단일톤신호를기준으로의크기로감소한다. 따라서입력신호와컨볼류션을통해서생성된 IF 출력스펙트럼도동일한크기로감소한다. ADC의표본화주파수가 PRBS의동작주파수에비해서매우작은경우 ( ) 압축센싱수신기의혼합기에서발생하는변환손실 (db) 은다음과같다. (2) G IF 를믹서 IF 출력에서 ADC 입력단까지 IF 단의총이득이라고할때, 광대역압축센싱수신기의총이득 ( ) 은 (3) 과같다. (3) 수신기의최소입력전력 ( ) 은수신기의총이득 ( ), 기저대역 ADC의바닥잡음 ( ) 과 ADC의최소 입력전력 ( ), 그리고압축센싱된신호를복원하 는데필요한후단부의신호잡음비 ( ) 를고려해서다음과같이결정할수있다. (4) 이때 (db) 는압축센싱복원에필요한신호대잡음비로써, MWC의경우약 =15 db 이고 [4], 는수신기입력대역폭 (Hz), 바닥잡음이다. 압축센싱수신기가개의다중협대역입력신호를수신한다고할때, 수신기의비선형성으로부터각각의협대역신호끼리상호변조왜곡에의한불요파가발생하고, 수신단의광대역특성으로인해서수신기의통과대역안으로들어온다. 이러한비선형적으로발생한불요파는원치않는위치에다중협대역성분을혼재 (aliasing) 시키기때문에압축센싱후에원신호를제대로복원하는데어렵게한다. 수신기의비선형성과관련된최대입력가능전력 ( ) 은수신기의선형성과 ADC의 SNDR에의해서결정된다. 수신기의모든블록의비선형성분을고려해서구한 3차교차점으로부터, 3차상호 변조왜곡를구하고, 이값이 ADC의신호대잡음및왜곡비 (SNDR) 보다낮도록해야한다. 일반적으로수신기의 IM3 성분이가장큰불요파를형성하므로, 다중협대역신호의개수가최대 N max 일때수신단의최대입력가능전력은식 (5) 와같다 [14]. (5) 여기서는 N max 개의다중대역신호에의한영향을고려한것이다 [15]. 818 _ The Magazine of the IEIE 38

47 실리콘공정기술기반초고속압축센싱수신기의집적화연구 라. 주파수혼합기 (Mixer) 단일톤을사용하는일반적인하향변환수신기와는달리 m-sequence 스펙트럼은식 (1) 과같이의배수가되는톤이 sinc 함수형태로촘촘히존재하면서입력신호와혼합된다. 수동형혼합기는소자의스위칭에의한주파수변환을이용하기때문에소자의트랜스컨덕턴스 ( ) 를사용하는능동혼합기에비해서선형성과광대역특성이우수하다. 수동형혼합기가제대로동작하기위해서는혼합기를구성하는 MOS 스위치가 On/ Off 상태로바뀔수있도록충분한크기의 LO 전압으로구동해야한다. 이를위해서 rail-to-rail 동작되는 LO 버퍼가필요하다. 한편, 다중톤으로구성된광대역 LO 신호는 IF 대역에까지선스펙트럼으로존재하기때문에누설 LO 신호에의한 IF 신호의오염에주의해야하기때문에우수한 LO-IF 격리도특성이필요하다. 이중평형혼합기는차동 LO 신호가 IF 단에서결합하기때문에누설된차동 LO 신호를서로상쇄하여높은격리도를유지할수있다. < 그림 3> 은 MOS로구현한이중평형혼합기이다. 본구조는차동신호를 RF 입력신호로사용하기때문에 RF입력신호가단일종단신호인경우 Balun을사용하여차동신호로변환한다. 초고주파 / 밀리미터파대역에서 Balun은온칩트랜스포머로소형화구현이가능하다. 이상과같이압축센싱수신기는산발신호의압축센싱을통해서 ADC의요구사양을극적으로낮추는대신에높은선형성을가지고광대역신호에대한증폭, 여파, 주 파수혼합을수행하도록설계해야한다. 3. 초고속의사불규칙이진수열 (PRBS) 발생기가. 의사불규칙이진수열발생기 (PRBS) 의구조식 (1) 과같이, PRBS 발생기의출력신호인 m-sequence 스펙트럼은에서 null 을형성하기때문에, 이에따른기저신호의손실을고려하여가초고주파대역의광대역산발신호의최대주파수보다 2 배이상높도록설계해야한다. m-sequence를생성하는 PRBS 발생기는 LFSR (Linear Feedback Shift Register) 의연속적인배열과함께일정한규칙에따라서임의위치의 LFSR 신호들을 XOR을통해합하고그값을초단 LFSR에되먹임하여개의불규칙한이진수열을의주기마다반복한다. LFSR을이용한 PRBS 발생기는 < 그림 4> 와같이 LFSR과 XOR의결합형태에따라서 (a) Fibonacci LFSRs 와 (b) Galois LFSRs 으로구별할수있다. Fibonacci 구조의 PRBS는 XOR을 LSFR 과평행하게배치하여필요한신호를추출하기때문에더빠른동작속도의 PRBS발생기를구현가능하다. 나. 초고속 PRBS의구현초고속 PRBS 발생기는낮은입출력전압으로동작이가능한차동형태의 CML (Current-Mode Logic) 래치 < 그림 4> PRBS 구조 :(a) Fibonacci LFSRs, (b) Galois LFSRs < 그림 3> MOS 수동형이중평형혼합기 < 그림 5> (a) Full-rate Fabonacci PRBS 구조 (b) Half-rate PRBS 의구조 39 전자공학회지 _ 819

48 박정동, 박준식 로구현되는데, CML은고속동작이가능한반면바이어스전류가상시필요하고, 각래치의물리적인크기때문에클록간의시간지연을무시할수없다. 실질적으로구현할수있는 PRBS sequence의길이 L은되먹임회로를구성하는선로및 LFSR 클록간의지연시간에의해서제약된다. 대략 30 Gb/s 이상에서동작하는 Full-rate PRBS 발생기의경우 n이 7 9 를가지도록설계된다. < 그림 5-(a)> 는 Full-rate PRBS의개략도이다. 초고속 PRBS 발생기는 m-sequence 신호의 Cycleand-Add 특성에의해서 Multiplexer (MUX) 를사용할수있고, 이를통해입력클록주파수의두배의동작속도를가진 Half-rate PRBS를구현할수있다 [16]. 이러한특성으로부터 N:1 Mux를이용한 N-Interleaved 구조를이용하면이론적으로 1/N 낮은 CLK 주파수로래치를구동할수있지만, 그개수가클수록정확한 Interleaving 이되는데필요한 Mux 입력신호간위상차를생성하기어렵다. 또한, MUX의동작속도는출력동작속도와같은속도로움직이기때문에 MUX의성능이동작속도를좌우한다. 실질적으로 N 이클수록높은전력소모, 칩의크기가증가하므로 half-rate 구조를널리사용한다. < 그림 5-(b)> 는 n=7 인 half-rate Fibonacci 구조의초고속 PRBS 발생기이다. LFSR (D-플립플롭) 은 Master와 Slave 2개의 CML Latch로구현한다. CML 래치는차동회로를이용하여 200 mv 300 mv 의낮은출력전압으로동작하기때문에 rail-to-rail 으로동작하는 static 논리회로에비해서훨씬빠른속도를가진다. < 그림 6-(a)> 와같이 M1, M2는차동증폭기를형성하고 M3와 M4는 XCP (cross-coupled pair) 로부하 R D 과함께래치를형성한다. CLK 이 High 가되면증폭기입력은증폭되고그 값이 XCP 에인가된다. CLK이 Low가되면 XCP가활성화되어서출력전압이 I SS R D 가되도록유지한다. 이때 XCP에의한 regeneration이제대로작동하기위해서는을만족해야한다. 차동증폭기는입력에따라서 M1 혹은 M2 소자로전체전류가흐르도록설계하기때문에, 이때의출력전압의변화율 ( ) 은에비례한다. 따라서증폭기의소자의전류밀도가최대가되도록설계해야한다 [17]. 이러한원리로부터부하 R D 를 inductive peaking 하여속도를개선하거나, Source follower를사용하여부하커패시턴스의영향을줄이고, BJT의경우 E2CL구조를이용하는방안이고려될수있다. 또한 < 그림 6-(b)> 와같이의사차동구조를적용하여 I SS 의제약없이증폭기가 class-ab 영역에서동작하게함으로써동작속도를개선할수있다 [18]. 여러문헌에다양한공정에따른고속 PRBS가보고되고있다. 구체적인예로, =200 GHz SiGe BJT를이용한 100Gb/s 2 7-1의 PRBS 발생기 [19] 가있고, [20] 은 0.13μm CMOS 공정을이용하여 24 Gb/s PRBS 발생기를구현하였다. 다. 전송선로를이용한클록분배회로초고주파대역의압축센서는매우짧은주기의펄스를 LO 신호로사용한다. 높은주파수의클록신호를전송할때에선로에의한시간지연이펄스의상승 ( 하강 ) 시간보다길면전송선로의특성을고려해주어야한다. CML 래치를사용하는초고속 PRBS 발생기는구현되는 LFSR 의물리적인크기가상대적으로크기때문에 LFSR 사이 < 그림 6> (a) CML 래치구조 (b) 고속 CML 래치구조 < 그림 7> (a) 래치의클록입력커패시턴스를고려한클록전송선로의설계 [21] 820 _ The Magazine of the IEIE 40

49 실리콘공정기술기반초고속압축센싱수신기의집적화연구 에전송되는클록들간에시간지연을무시할수없다. 따라서초고속 PRBS 발생기의클록분배용선로는전송선로의특성을고려하여특성임피던스설계와임피던스정합을해야한다. 전송선로에서다중반사파에의한신호의 ISI를최소화하기위해서선로를구동하는버퍼의출력과선로종단의부하가특성임피던스와동일하게설계한다. 전송선로의특성임피던스는차동선로의경우 =100Ω, 단일종단선로는 =50Ω 이되도록구현하는데, < 그림 7> 에서보이듯이래치의클록입력단의을원하는전송선로차동특성임피던스의구현을위해서식 (7) 과같이고려해야한다. (7) 한편, CMOS 공정에서전송선로는기판의높은전도도로인해서 10 GHz~60GHz 에서약 db/mm 가량으로상대적으로손실이크기때문에클록분배회로를구현할때이를충분히고려해야한다 [22]. Ⅲ. 결론 실리콘공정에기반하여초고주파및밀리미터대역의광대역신호를압축센싱할수있는집적화된수신기의개발은차세대무선통신, 실시간레이더신호감시등, 여러응용분야에적용될수있다. Ⅳ. 사사 이논문은 2016 년도한화탈레스 ( 주 ) 의재원을지원받아수행된연구임참고문헌 [1] Donoho, Compressed sensing, IEEE Trans. Inf. Theory, vol. 52, no. 4, Apr. 2006, pp [2] D.V.Sarwate, et al., Crosscorrelation Properties of Pseudorandom and related sequences, in Proc. of the IEEE, Vol. 68, No. 5, May [3] J.Yoo et al., A 100MHz-2GHz 12.5 x sub-nyquist rate receiver in 90 nm CMOS, RFIC, 2012 IEEE, pp [4] M Mishali, From theory to practice: sub-nyquist sampling of sparse wideband analog signals, IEEE Journal of Selected Topics in Signal Processing, Vol. 4, No. 2, April 2010, pp [5] R.T. Yazicigil, Wideband rapid interferer detector exploiting compressed sampling with a quadrature analog-toinformation converter, IEEE Journal of Solid-State Circuits, Vol. 50, No. 12, Dec., 2015, pp [6] R.A. Camillo et al., Proc. of IEEE BCTM 227, [7] [8] B. Murmann, Trends in low-power, digitally assisted A/D conversion, IEICE Trans. Electron., vol. E93-C, no. 6, 2010, pp [9] S. Verma et al., A 10.3 GS/s 6 b flash ADC for 10 G ethernet applications, in IEEE ISSCC Dig. Tech. Papers, 2013, pp [10] V. H.-C. Chen et al., A 69.5 mw 20 GS/s 6 b timeinterleaved ADC with embedded time-to-digital calibration in 32 nm CMOS SOI, in IEEE ISSCC Dig., 2014, pp [11] A.Tropp et al., Beyond nyquist : Efficient sampling of sparse band limited signals, IEEE Trans. Inf. Theory, Vol. 56, No. 1, Jan. 2010, pp [12] J.-D. Park et al., A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication, in Proc. Symp. VLSI Circuits, Jun. 2012, pp [13] Pickholtz et al., Theory of Spread-Spectrum Communications, IEEE Transactions on Communications, Vol. COM-30, No.5, May 1982.pp [14] M. Mishali, Xampling: Analog to digital at sub-nyquist Rates, IET Circuits Devices Syst., 2011, Vol. 5, Iss. 1, pp [15] N. B. Carvalho and J. C. Pedro, Compact formulas to Relate ACPR and NPR to two-tone IMR and IP3, Microwave Journal, vol. 42, no. 12, Dec [16] F. Sinnesbichler, Generation of high-speed pseudorandom 41 전자공학회지 _ 821

50 박정동, 박준식 sequences using multiplex techniques, 1996 IEEE MTT-S Digest, pp.1351~1354. [17] M. Green and U. Singh, Design of CMOS CML circuits for high speed broadband communications, in IEEE Int. Symp. Circuits and Systems, May 2003, vol. 2, pp [18] B. Razavi, Design of Integrated Circuits for Optical Communications, 2nd Ed., Wiley, [19] H. Knapp, 100 Gb/s and 54-Gb/s PRBS generators in SiGe bipolar technology, IEEE Journal of Solid State Circuits, Vol. 40, No. 10, Oct , pp. 2118~2125. [20] F. Weiss et al., A 24-Gb/s pseudo random bit sequece genertor IC in 0.13 μm Bulk CMOS, in 2006 Proc. of the 32nd European Solid-State Circuits Conference, [21] H. Veenstra et al., Circuit and interconnect design for RF and high bit-rate applications, Chapter 6, Springer, [22] F. Wecchi, Design of low-loss transmission lines in scaled CMOS by accurate electromagnetic simulations, IEEE Journal of Solid State Circuits, Vol.44, No.9, Sept 박정동 1998 년동국대학교전자공학과학사졸업 2000 년광주과기원정보통신공학과석사졸업 2012 년캘리포니아대학교버클리, 전기컴퓨터과학과박사졸업 < 관심분야 > 아날로그 /Mixed/RF 집적회로, 테라헤르츠, 전력증폭기, 차세대소자개발 박준식 2014 년전북대학교전자 정보공학부학사졸업 2016 년전북대학교전자 정보공학부석사졸업 2015 년 ~ 현재한화탈레스 < 관심분야 > RF 집적회로, 전자전시스템 822 _ The Magazine of the IEIE 42

51 특집 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구현 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구현 Ⅰ. 서론 서근수광주과학기술원전기전자컴퓨터공학부윤의현광주과학기술원전기전자컴퓨터공학부이민재광주과학기술원전기전자컴퓨터공학부 압축센싱은낮은속도의 ADC를사용할수있으며, 산재된신호를복원하는데효과적인것으로연구되어왔다. 신호의산재한특성은생체신호, 의료영상, 레이더신호등에서발견되며하드웨어로구현하려는많은시도가있었다 [1-2]. MP(Matching Pursuit) 알고리즘은반복적으로현재의신호추정치와가장상관도가큰측정행렬의열을추출하는방식으로, 연산복잡도가낮아, 산재된신호복원과구현에널리사용되어왔다. 그러나 MP를이용한신호복원은신호의산재한정도가매우큰경우에효과적이며, 긴연산시간이필요하다는제약들이있다. 이러한문제를극복하기위해 OMP(Orthogonal Matching Pursuit) 가제안되었다 [3]. MP의경우마지막단계에서만 Least Square가수행되는것이비해, OMP 는매반복문마다 Least Square 단계가포함되면서, 반복문의횟수가크게줄어든알고리즘이다 [5]. Least Square 때문에반복문당복잡도는증가하였지만, 연산시간은크게감소하였다. OMP 알고리즘의연산복잡도가증가되는이유는내적기 ( 행렬곱셈 ), 비교기그리고신호복원을위한역행렬기가사용되기때문이다. 그러므로 OMP를구현하기위해서, OMP의큰연산복잡도를어떻게다룰것인지결정하는것이중요한문제가된다. 1장에서는 OMP 알고리즘을구성하는세부연산장치들을소개하며, 2장에서는신호복원모듈에사용되는역형렬방식과대입방식의연산복잡도를비교한다. 3장에서는복원모듈의연산시간을절감하기위한파이프라인방식의누적장치를묘사하며, 4장에서는제안하는데이터플로우구조의효과에대해알아본다. 실험에서는제안된구조의동작을확인하고, 성능을분석한다. 43 전자공학회지 _ 823

52 서근수, 윤의현, 이민재 Ⅱ. 본론 1. OMP 알고리즘 압축센싱문제중에서수신하고자하는신호의열 (column) 의개수가 2개이상인경우를 MMV문제라고한다. 더높은차원으로확장된형태의 MMV문제는 1차원의 SMV보다산재된신호를발견하는데더효과적인것으로연구되어왔다 [4]. 는관측행렬로수신단에잡음 (n) 을추가하여식 (1) 과같이모델링할수있다. (1) 는송신된신호로반송파주파수가알려지지않은산재된신호 (sparsed signal) 이다. 는측정행렬이라고하며, 광대역의수신대역을균등분할하여생성된슬라이스들에대해서각각다른이득및위상정보를갖는다. < 표 1> 은대입방식이적용된 OMP 알고리즘나타낸다. 최초에관측행렬 Y를입력받은이후에산재된위협신호를모두발견하는조건이될때까지반복문을수행한다. OMP는크게최적화 (Optimization) 문제와최소자승법 (Least Square) 문제로구분할수있다. 최적화문제는 Residue와상관성이가장큰밴드위치 (support) 를검색하는단계로 OMP 반복문마다새로운 support를추출하는기능을하며, < 표 1> 의 1번부터 4번 입력 : 출력 : 발견된 Support 개수 : < 표 1> 대입방식의 OMP 알고리즘 Step.1 Make correlation matrix Step.2 Absolute Step.3 Compress matrix to vector Step.4 Find index corresponding to maximum value in the row elements. argmax Step.5 Update sub-measurement matrix and index set Step.6 Compose symmetric matrix and sub-correlation matrix Step.7 LD decomposition Step.8 Forward/Backward Substitution Step.9 and repeat 1) until stop criteria 단계에해당한다. 최소자승법문제는수신데이터 ( ) 와계산으로추정하는데이터 ( ) 간오차 ( ) 를줄여가며, 근사하는이론으로 < 표 1> 의 6번부터 9번에해당한다. OMP 연산을구성하는세부알고리즘은다음과같다. 단계 1은식 (1) 의양변에를곱하는연산으로, 식의좌변은상관행렬 (correlation matrix) 로표현된다. 이때사용되는측정행렬은 OMP 반복문에서고정되지만, 추정치가실제와유사해짐에따라측정오차은반복문마다작은값으로갱신된다. (2) 첫번째 OMP 반복문에서는관측행렬가되며, 이후에는이전 OMP 반복문에서계산되는측정오차 ( ) 로대체된다. 단계 2와 3은상관행렬 W를간소화하는모듈로 _ norm 방식으로계산된다. 일반적인 _norm을계산하는방식은식 (3) 과같은데, _norm(p=1) 은 _norm(p=2) 과달리루트연산이발생하지않기때문에계산이간편하다. (3) 복소수형인상관행렬은각각의원소에절댓값이취해진후, 단일열벡터 W V 로축소된다. 단계 4는전체수신대역과일치하는슬라이스중에서최대상관을가지는위치를검색하는함수이다. (4) 식 (4) 에서, 최댓값을검색하기위해비교해야할슬라이스의개수를라고했을때, 인접한두개의원소끼리크기를비교하여하나가살아남는토너먼트방식으로최댓값을발견한다. 가의범위에존재할때, n bit의 index가각각의데이터에삽입되며, 최댓값을출력하기까지 n번의비교단계가수행된다. 단계 5는식 (5) 와 (6) 과같이묘사된다. 전체측정행렬중에서단계 4로부터발생한최댓값의위치와이것과일치하는열만을선택적으로수집한다. 구성행렬는이전결과 ( ) 에현재발견되는열 ( ) 824 _ The Magazine of the IEIE 44

53 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구현 을누적하여구성된다. (5) (6) 결과적으로, 우리의문제를주어진식보다미지수가더많은 underdetermined system에서수학적해석이가능한 overdetermined system으로변환한다. 단계 7은행렬 L(lower) 과 D(diagonal) 를계산하는모듈로, 이번논문에서는기존의 Cholesky(LD) 분해에서제곱근연산이없는변형된형태의 Cholesky(LD) 분해를이용하여구현하였다 [5]. 변형된 Choleksy 분해는 L과 D 로대칭행렬 C를분해할수있으며, 다음의식 (7) 과 (8) 같이표현된다. 입력행렬 C가복소수형이기때문에, 일반전치 (transpose) 연산이아닌켤레 (conjugation) 연산이포함된허미션전치 (hermition transpose) 가수행된다. (7) (8) 단계 8번에서는전 / 후진대입 (Forward/Backward substituion) 을이용하여, 탐지하고자하는신호를계산한다. 기존의연구에서는신호를발견하기위해서, C -1 를계산했지만 [5], Cholesky 역행렬에서수행되는 LD 역, 행렬곱과정에서시간및하드웨어소요가크다는문제가있다. 이에이번논문에서는전 / 후진대입방식으로신호를복원한다. 단계 9번에서는다음연산에필요한측정오차 (residue) 을계산한다. 측정오차는최소자승법에따라, 실제수신데이터 (Y) 와계산으로추정하는수신데이터 ( ) 간오차로나타나며, OMP가수행되면서, 이값은점차줄어드는경향으로나타난다. 2. 신호복원방식의비교본논문에서제시된전 / 후진대입방법은기존에사용하는의사역행렬을이용한신호복원방법에비해연산시간및복잡도가낮은장점이있다. When, < 표 2> 에서는각각의신호복원연산에서사용되는곱셈기의개수를비교한다. 연산복잡도를비교하기위해가장비중이큰곱셈기의개수를나타내었다. 연산복잡도에서차이가크게발생하는이유는대입방식과달리, 역행렬방식에서는행렬곱셈과정이두차례포함되기때문이다. 본실험에서사용된파라미터 (K=12( 최대 ), M=32, L=16) 를적용하면, 곱셈기는역행렬방식에서 15K 개, 대입방식에서 3.7K 개가사용된다. 같은신호를얻기위한연산임에도불구하고, 복잡도 ( 곱셈기 ) 는 4.06배만큼차이가나게된다. 3. 파이프라인구조의누적 ( ) 연산장치신호복원에사용되는 LD 분해및대입방법은이전결과를사용하여현재결과를얻는메모리가있는누적시스템이다. 따라서연속된데이터를한개주기동안출력하기어렵다. 식 (10) 에서파이프라인이적용된 LD분해의동작을소개한다 [5]. 예를들어 LD 분해의 4번째행 (i=4) < 표 2> Cholesky 역행렬방식과대입방식에서사용되는곱셈기의개수 (a) 역행렬방식 (b) 대입방식 전진대입 (9) 3. 행렬곱, 4. 행렬곱, 3. 후진대입 45 전자공학회지 _ 825

54 서근수, 윤의현, 이민재 을계산하는경우, D 44 를얻기위해서바로이전원소 L 43 을계산한후, 각각 d 44 =C 44, d 44 =d 44 -L 41 L 41 D 11, d 44 =d 44 - L 42 L 42 D 22 에서그리고 D 44 =d 44 -L 43 L 43 D 33 에서 1개씩총 4 개의주기를소비한다. 그러나 < 표 3> 에서처럼각각의열 (column) 마다별도의연산장치를가지고계산을수행하면, L 43 과동시에마지막의 d 44 를미리계산하기때문에마지막 4T에서만 1개주기를소비한다. 결과적으로 LD분해의모든원소들은에서초기값가모든개별장치에입력되고, 매주기마다해당하는원소가출력된다. (10.a) (10.b) (10.c) (10.d) 파이프라인에따른시간절감과복잡도증가는 < 표 4> 와같다. 첫번째열 (j=1) 을제외한나머지열에서독립적으로연산장치를사용하기때문에연산복잡도는 K-1배증가하지만, LD분해원소한개를구하기위해서연산주기가 K-1개씩소비되었던것을 1개주기로줄였기때문에시간이절감되는효과가있다. 한편, 전 / 후진대입의출력인과은다음과같은방식 (11), (12) 로유도되며, 메모리가있는누적연산을가지는공통점이있어, 위에서제시된 LD 분해와유사한파이프라인구조로설계될수있다. < 표 3> 파이프라인구조의 LD 분해의예 (i=4) 1T(k=0) 2T(k=1) 3T(k=2) 4T(k=3) (11) (12) 식 (11),(12) 는 1차원열백터기준이며, MMV 환경에서는같은장치를 L배만큼병렬로두어구성한다. 4. 데이터플로우기술이적용된신호복원장치본논문에서제안하는하드웨어구조는위에서소개된파이프라인구조의 LD분해와, 전진대입모듈간데이터플로우 (Dataflow) 를적용하는방식이다. 데이터플로우란일종의파이프라인인데, 단일연산에서복수의장치를사용하여연산시간을줄이는파이프라인이론에서확장하여, 다른종류의연산과유기적으로파이프라인되는것을말한다. < 그림 1> 은데이터플로우를설명하는그림이다. LD분해로부터 D 11 이출력되고, 다음원소인이계산되는동시에, 전진대입의이계산될수있다. 전진대입는분모에서 D 22 가필요하기때문에 LD분해두번째행 (i=2) 가모두계산되고다음한주기동안에계산된다. 일반적으로각행의모든 LD분해가종료되고다음한개주기에전진대입원소하나가출력된다. 이논문에서제시하는데이터플로우기술로부터발생하는연산시간절감의효과는식 (13) 과같다. (13) < 표 4> 파이프라인구조로구성된 LD 분해의연산복잡도와시간소비 모든 LD분해가종료되고전진대입이시작하는경우전진대입을위한연산시간에 K개의주기가소요되지만, LD분해가수행되고있는도중에전진대입이계산되면, LD분해가종료되고다음 1개주기에모든전진대입이종 기존 파이프라인 복잡도 1 K-1 연산시간 < 그림 1> LD 분해와전진대입간적용된 Dataflow 기술 826 _ The Magazine of the IEIE 46

55 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구현 도불구하고 MATLAB 과거의유사하게관찰되었다. Ⅵ. 결론 < 그림 2> 데이터플로우기술이적용된 OMP 알고리즘의동작료된다. 한편후진대입은파이프라인은적용할수있지만, 이론상전진대입의마지막원소인이계산된후후진대입이시작될수있어, 데이터플로우를적용할수없다. 5. 실험및결과본실험에서는제안된구조로설계된 OMP 알고리즘의동작을검증한다. 광대역에존재하는미지의신호최대 3개를수신하며, 이때, 신호의위치와크기를출력한다. MMV모델로확장되면서, 연산데이터는 32bit 고정소수점복소수 ( 실수 16 bit, 허수 16 bit) 로처리되었다. < 그림 2> 는무작위의반송파위치에서송신중인신호를탐지한결과를보여준다. < 그림 2(b)> 에서 Residue는 MATLAB( 파란 ) 과 HDL( 녹색 ) 에서 OMP 반복문이진행될때마다줄어드는것을확인할수있으며, < 그림 2(c)> 와 < 그림 2(d)> 는각각 MATLAB과 HDL에서복원한신호를나타낸다. (14) 식 (14) 은 MSE(Mean Square Error) 라고하며, 데이터간오차를나타낸다. 입력신호와 MATLAB 복원신호간 MSE는 , 입력신호와 HDL 복원신호간 MSE 는 이다. 한편, MATLAB과 HDL 사이에 MSE는 로디지털연산을위한양자화 (Quantization) 에 압축센싱은광대역의수신대역에도불구하고, 나이퀴스트보다훨씬낮은속도의 ADC를사용하여, 송신신호의위치 (support) 와해당하는신호를복원할수있는획기적인통신이론이다. 이러한압축센싱에는많은이론들이있지만, 연산복잡도가낮아, 구현에용이한 OMP가특히많이사용되고있다. 본논문에서는단일차원 SMV 모델에서확장된, MMV 모델을다루었으며, 차원이확장되면서연산데이터가실수에서복소수로처리할수있음을보였다. 또한신호복원모듈에파이프라인및데이터플로우기술을접목하여연산시간을절감할수있는구조를제안하였다. 본실험을통해서우리는제한된길이의디지털연산에도불구하고, 제안된구조의 OMP알고리즘을높은정확성을가지고신호를계산할수있음을보였다. 참고문헌 [1] A. M. R. Dixon, E. G. Allstot, A. Y. Chen, D. Gangopadhyay, and D. J. Allstot, Compressed sensing reconstruction: Comparative study with applications to ECG bio-signals, in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2011, pp [2] D. Yang, H. Li, G. D. Peterson, and A. Fathy, Compressed sensing based UWB receiver: Hardware compressing and FPGA reconstruction, in Proc. 43rd Annu. Conf. Inf. Sci. Syst. (CISS), Mar. 2009, pp [3] J. Tropp and A. Gilbert, Signal recovery from random measurements via orthogonal matching pursuit, IEEE Transactions on Information Theory, vol. 53, no. 12, p. 4655, [4] Shane F. Cotter, Bhaskar D. Rao and Kjersti Engan, Sparse Solutions to Linear Inverse Problems With Multiple Measurement Vectors. IEEE Transactions on Signal Processing, Vol. 53, NO. 7, July 전자공학회지 _ 827

56 서근수, 윤의현, 이민재 [5] Hassan Rabah, FPGA Implementation of Orthogonal Matching Pursuit for Compressive sensing Reconstruction, IEEE Transaction on Very Large Scale Integration(VISL) system, Vol. 23, No 10, October 2015 이민재 서근수 2014 년충북대학교전기전자컴퓨터공학부학사졸업 2015 년 ~ 현재광주과학기술원전기전자컴퓨터공학부석사과정 < 관심분야 > 압축센싱, 디지털회로설계 1998 년서울대학교전기공학과학사졸업 년서울대학교전기공학과석사졸업 년 University of california 전기공학과박사졸업 2000 년 ~2003 년 Silicon Image Inc 2005 년 Marvell Semiconductor Inc 2007 년삼성종합기술원 : Consultant 2008 년 ~2012 년 Agilent Technologies, R&D 연구원 2012 년 ~ 현재광주과학기술원전기전자컴퓨터공학부조교수 < 관심분야 > ADC, DAC, PLL, RF, 디지털회로설계등 윤의현 2016 년아주대학교전자공학부학사졸업 2016 년 ~ 현재광주과학기술원전기전자컴퓨터공학부석사과정 < 관심분야 > 압축센싱, 디지털회로설계 828 _ The Magazine of the IEIE 48

57 특집 탐색레이더에서의압축센싱기술기반 ISAR 영상획득기법 탐색레이더에서의 압축센싱기술기반 ISAR 영상획득기법 Ⅰ. 서론 김형주한국과학기술원전기및전자공학부송원영한국과학기술원전기및전자공학부명로훈한국과학기술원전기및전자공학부 압축센싱기술은부족한샘플링데이터로부터원래디지털신호를복원하는기술로, 2006년 Donoho에의해처음제안되었다 [1-2]. 압축센싱기술은디지털신호의복원뿐만아니라, 데이터압축, 안티노이징, 디지털신호의해상도개선등다양한신호처리분야에이용되고있다. 이에본논문에서는압축센싱기술에대한소개와레이더영상분야의활용법에대해서기술하도록한다. 항공기와같은레이더표적의 2차원영상을획득하기위해서는넓은대역폭을가지는레이더를이용하여, 움직이는표적에대한다양한관측각도에서연속적으로획득된표적의산란신호를필요로한다. 다양한관측각도에서연속적인표적의산란신호를획득하기위해추적레이더가이용되며, Fourier 기반의영상처리과정을통하여표적의산란점을 down-range와 cross-range로표현되는 2차원의축에나타내면 2 차원표적영상을획득할수있다. 하지만, 이와같이움직이는표적을추적하여표적의산란신호를수집하는추적레이더는설치비용이비싸고, 제한된탐색영역을가진다는특징이있다. 한편, 탐색레이더는추적레이더보다상대적으로설치비용이저렴하고, 일반적으로회전형안테나를채택하고있으므로, 탐색영역을보다넓힐수있다는장점이있다. 하지만, 탐색레이더의경우회전형안테나채택함으로인해획득하게되는표적의산란신호가연속적이지못하고불충분하다는특징을지니게된다. 따라서이와같은불연속적이고불충분한표적의산란신호를획득하게되는탐색레이더에대해압축센싱기술을적용할경우, 영상의품질측면에서는다소손해를보지만, 보다저렴한비용으로표적의레이더영상을획득할수있다는장점을가지게된다. 2장에서는압축센싱기술의원리와레이더영상분야에적용하는과정에대해서기 49 전자공학회지 _ 829

58 김형주, 송원영, 명로훈 술하고, 3장에서는압축센싱기술을바탕으로획득된표적의레이더영상의결과및분석을제공한뒤, 4장에서결론을맺도록한다. Ⅱ. 압축센싱기술및레이더영상분야의적용 1. 압축센싱기술 < 그림 1(a)> 의파란색으로표시된그래프와같은, 측정하고자하는원래의신호가있다고가정을하자. 이신호는 1001개의샘플로이루어져있으며, 원하지않는노이즈 (SNR = 20 db) 또한내포하고있다. < 그림 1(a)> 의파란색으로표시된것과같은그래프를획득하기위해 1001개의모든샘플이있으면용이하지만, 보다적은수의샘플로원래의그래프를획득하고자한다. 이때, 압축센싱기술을이용하면, < 그림 1(a)> 의빨간색샘플과같이 random한위치에서측정된 20개의샘플만으로 < 그림 1(b)> 와같이원래의신호를복원할수있다. < 그림 1(b)> 에복원된신호는빨간색으로나타내었으며원래의신호와겹쳐나타내보면, 정확히원래의신호를복원하였을뿐만아니라, 원래신호에섞여있었던노이즈또한완벽히제거한모습을확인할수있다 (a) 개의샘플중단 20개의샘플만으로나머지 981개의샘플을추정한다는것을불가능을가능으로바꾼매우놀라운기술로보이지만, 그원리는의외로간단하다. 압축센싱기술의가장핵심적인요소는신호의 sparsity 와 random sampling이다. 압축센싱기술을처음제안한 Donoho는우리가다루는대부분의신호는 sparse 한특성을지니고있다는점을지적하며, 이로부터압축센싱기술을고안하게되었다. 우리가복원하고자하는신호를우리가알고있는형태의신호로변환하게되면 (ex- Fourier transform, wavelet transform 등 ), 소수의 major component(coefficient) 와다수의 minor componet(coefficient) 의형태로이루어지며, 신호가이러한특성을가질때, 이신호는 sparse 하다고표현한다. < 그림 1> 의신호를 Fourier 변환한뒤, 그결과를 < 그림 2> 에나타내었다. < 그림 2> 의파란색그래프를통해, < 그림 1> 에나타낸신호는 3개의큰값을가지는 major component (coefficient) 와영 (zero) 에가까운다수의 minor component(coefficient) 의형태로이루어지므로, 이신호는 sparse한특징을가지고있는것을확인할수있다. 복원하고자하는신호가 sparse한특성을가질경우, 변환된 domain에서 < 그림 2> 의빨간색으로표시된 major component(coefficient) 만추정하게되면, 본래의 domain으로다시변환하여원래의신호를복원할수있게된다. 이때, major component를추정하기위해 random sampling이필요하다. < 그림 1> 의신호는 < 그림 2> 에나타낸것과같이 3개의주파수성분을가지는신호로구성되며, 각주파수성분이시간영역에서가지는그래프의형상을 < 그림 3> 에나타내었다. 편의상 < 그림 (b) < 그림 1> 압축센싱기술을이용한신호복원 (a) 원래의 data 및샘플링된 data (b) 복원된 data < 그림 2> 주파수 domain 의신호 830 _ The Magazine of the IEIE 50

59 탐색레이더에서의압축센싱기술기반 ISAR 영상획득기법 < 그림 4> 탐색레이더를이용한표적산란신호획득 < 그림 3> 복원대상신호의주파수별분해 3> 의위에서아래순으로각신호의주파수를 1, 2, 3 으로명명하였다. 1의주파수를가지는신호를정확히측정하기위해서는 Nyquist 이론에의해 2 1 보다큰샘플링주파수로측정을수행해야한다. 2, 3 의주파수를가지는신호또한각각 2 2, 2 3 보다큰샘플링주파수를필요로한다. 하지만, 1 주파수를가지는신호에서, 피측정신호가단일주파수성분의신호로이루어진주기적인신호라면, 2 1 보다큰샘플링주파수가필요할뿐, < 그림 3> 의녹색점으로표시된것과같이 3~4개의소수의측정샘플만있으면주파수 1 추정이가능하다. 이는 2, 3 주파수를가지는신호의주파수를추정에도동일하게적용된다. 즉, 다양한주파수성분을가지는신호의주파수스펙트럼을추정하기위해서, Nyquist 이론에서는 2 max ( max = 3 ) 이상의샘플링주파수를요구하게되는데, 이경우 1 과같이작은주파수성분을가지는신호에대해서는지나친샘플링을초래하게된다. 따라서모든주파수영역에대해여적절한샘플링수를결정하기위해 Donoho는원래신호의총샘플수보다적은수의 random sampling 을권하게된다. 다시 < 그림 1(a)> 에서빨간색으로표시된측정샘플의시간좌표를보면, 압축센싱기술에서는큰간격으로떨어진샘플들을이용하여낮은주파수성분을추정하게되고, 작은간격으로측정한샘플들을통하여높은주파수성분을추정하게된다. 즉, random sampling을통하여필요한측정샘플수를줄이고, 최소의측정샘플들로부터복원하고자하는신호의 major component(coefficient) 를추정함으로서원래의신호를복원하게된다. 2. 레이더영상분야적용앞서설명한압축센싱기술은탐색레이더를이용한표적영상획득기술에적용할수있다. 움직이는표적을관측하면서연속적으로표적의산란신호를획득하는추적레이더와달리, 탐색레이더를이용하여표적의산란신호를획득하게될경우, < 그림 4> 에도시한바와같이불연속인표적의산란신호를수집하게된다. 레이더안테나가회전을하면서표적을정확히향할경우, 표적의산란신호를획득하게되지만, 레이더안테나가다른방향을향할경우아무런신호를획득하지못하게된다. 이는 < 그림 1(a)> 의측정샘플과같이, 필요로하는전체의데이터중소수의측정샘플을수집한것과같은상황이므로이러한불연속적이고불충분한표적의산란신호에압축센싱기술을적용할경우, 전체표적의산란신호를추정할수있어표적의레이더영상을획득할수있다. Ⅲ. 모의실험본절에서는 B-727 항공기표적에대하여시뮬레이션으로획득된표적산란신호와측정으로획득된표적산란신호를이용하여영상획득실험을수행하였다. 우선시뮬레이션으로획득된표적산란신호는많은논문들에서이미징결과의검증을위해자주인용되는신호로, 픽셀의해상도, 9 GHz의중심주파수, 150 MHz의대역폭을가지는표적산란신호를이용하였다 [3]. < 그림 5> 에는추적레이더를이용하여획득된 B-727 항공기표적산란신호로부터기존의 Fourier 기반영상획득기법을적용하여획득된 ISAR 영상을나타내었다. 추적레이더를이용할경우, 표적산란신호의손실이없기때문에깨끗한영상을획득할수있는것을확인할수있다. 51 전자공학회지 _ 831

60 김형주, 송원영, 명로훈 < 그림 5> 시뮬레이션된 B-727 표적 ( 추적레이더 ) < 표 1> 시뮬레이션 B-727 표적에대한시뮬레이션설정 Parmeter Value RPM ( 분당회전속도 ) 30 레이더-표적간거리 20 km 표적이동벡터 (1, 5) 표적속력 340 m/s 주파수샘플링수 64 각도샘플링수 ( 탐색레이더 / 추적레이더 ) 49 / 256 < 표 1> 에명시된파라미터를가지는탐색레이더를이용하여획득된표적의산란신호로부터기존의 Fourier 기반영상획득기법을적용하여획득된 ISAR 영상을 < 그림 6> 에나타내었다. 탐색레이더는추적레이더와달 리신호의손실을가지게되므로, < 그림 6> 과같이표적의형상을알아볼수없는결과를얻게되었다. 하지만, 압축센싱기술을탐색레이더를이용하여수집된표적산란신호에적용하여표적의레이더영상을획득할경우, < 그림 7> 과같은결과를얻을수있다. < 그림 7> 을 < 그림 5> 와비교해보면, 추적레이더의신호를이용한결과보다영상의품질이다소떨어지지만표적을식별할수있을정도의결과를얻을수있다. 동일한실험을시뮬레이션으로합성된표적의산란신호가아닌, 측정된 B-727 표적산란신호에적용하여반복하였다. 실제탐색레이더를이용하여표적산란신호를측정하기에는환경적인제약이따른다. 이에, 본논문에서는추적레이더를이용하여측정된 B-727 표적산란신호로부터 < 표 2> 에정리된탐색레이더파라미터에의해일부신호만을탐색레이더에서측정된신호로가정하고, 이와같이불충분한신호로부터압축센싱기술을적용하여표적의영상을획득하도록하였다. 추적레이더를이용하여기존의 Fourier 기반영상획득기법을적용할경우, 신호의손실없이연속적이고충분한표적산란신호를수집하기때문에 < 그림 8> 과같이깨끗한영상을획득하게되는반면, 탐색레이더를이용할경 < 표 2> 측정된 B-727 표적에대한시뮬레이션설정 < 그림 6> 19.1% 로감축된신호로부터기존의 FFT 기법을이용하여획득한 ISAR 영상 ( 탐색레이더 ) Parmeter Value RPM ( 분당회전속도 ) 22 레이더-표적간거리 20 km 표적이동벡터 (1, 5) 표적속력 340 m/s 주파수샘플링수 128 각도샘플링수 ( 탐색레이더 / 추적레이더 ) 36 / 128 < 그림 7> 19.1% 로감축된신호로부터압축센싱기법을이용하여획득한 ISAR 영상 ( 탐색레이더 ) < 그림 8> 측정된 B-727 표적 ( 추적레이더 ) 832 _ The Magazine of the IEIE 52

61 탐색레이더에서의압축센싱기술기반 ISAR 영상획득기법 < 그림 9> 28.1% 로감축된신호로부터기존의 FFT 기법을이용하여획득한 ISAR 영상 ( 탐색레이더 ) 참고문헌 [1] David L. Donoho, Compressed sensing, IEEE Transactions on Information Theory, Vol. 52, No. 4, April [2] Emmanuel J. Candes and Michael B. Walkin, An introduction to compressive sampling, IEEE Signal Processing Magazine, March [3] Chen VC, Ling H. Time-Frequency Transforms for Radar Imaging and Signal Analysis. Norwood, MA: Artech House; < 그림 10> 28.1% 로감축된신호로부터압축센싱기법을이용하여획득한 ISAR 영상 ( 탐색레이더 ) 우, 신호의손실로인해 < 그림 9> 와같은품질이떨어지는영상을획득하게된다. 하지만, 압축센싱기술을탐색레이더를이용하여수집된표적산란신호에적용하여표적의레이더영상을획득할경우, < 그림 10> 과같이표적을식별할수있는영상을얻을수있다. Ⅵ. 결론 김형주 2010 년경북대학교전자전기컴퓨터공학부학사졸업 2012 년한국과학기술원전기및전자공학과석사졸업 2012 년 ~ 현재한국과학기술원전기및전자공학부박사과정 < 관심분야 > 전파수치해석, 레이더신호처리 본논문에서는압축센싱기술의원리에대해설명하고, 이를레이더영상획득분야에적용한사례를소개하였다. 부족한샘플링데이터로부터원래의신호를복원하는압축센싱기술은, 연속적으로표적의산란신호를수집하는추적레이더가아닌, 불연속적이며불충분한형태로신호를수집하게되는탐색레이더를이용한표적산란신호에적용하여표적의영상을획득할수있다. 이에본논문에서는시뮬레이션신호및측적신호에본기법을적용하여각표적의 2차원레이더영상획득결과를도시하였다. 송원영 2008 년한국과학기술원전기전자공학과학사졸업 2010 년한국과학기술원전기및전자공학과석사졸업 2010 년 ~ 현재한국과학기술원전기및전자공학과박사과정 < 관심분야 > ISAR 영상, 레이더신호처리 53 전자공학회지 _ 833

62 김형주, 송원영, 명로훈 명로훈 1976 년서울대학교전기공학과학사졸업 1982 년 Ohio State University 전기공학과석사졸업 1986 년 Ohio State University 전기공학과박사졸업 1986 년 9 월 ~ 현재한국과학기술원전기및전자공학과교수 2006 년 1 월 ~2006 년 12 월한국전자파학회학회장 2004 년 3 월 ~2009 년 12 월전파탐지특화연구센터소장 2008 년 1 월 ~2011 년 12 월인공위성연구센터소장 < 관심분야 > 전파예측모델, 마이크로웨이브공학, 안테나및레이더공학 EMI/EMC/EMS 등 834 _ The Magazine of the IEIE 54

63 특집 차량용레이더의기술발전과동향 차량용레이더의기술발전과 동향 Ⅰ. 서론 고석준 제주대학교부교수 최근 10년간미국과유럽을중심으로많은국가들이차량사고로인한인명피해의심각성을알고해결방안을찾는노력 ( 유럽 : e-safety programme) 을진행하여왔다. 이와같은맥락에서국가기관에서는자동차제조회사에사고를줄일수있는장비개발에대한강력한요구가있어왔다. 과거에는차량생산자들이편리한운행에초점을맞추어개발하였으나, 최근에는안전운행에중점을두고있다. 초기의안전운행을위한장치는에어백과안전벨트와같은수동장치이었으나, 현재는적응형주행제어시스템 (Adaptive Cruise Control system: ACC) 의개념을사용하여운전자에게편리함을제공하는기능 (comfort function) 과안전운행을가능하도록하는기능 (safety function) 을지원하고있다. 2005년 SEiSS study에서는 77GHz의장거리용레이더만을이용한 ACC로부터얻을수있는재산상의이점을 < 그림 1> 과같이제시하였다 [10]. i) 2010년까지는총 490,000만유로그리고 2020년에는 990,000만유로의재산상의절감효과를얻을수있다. ii) 사망사고와심각한부상사고는각각 40% 의감소, 단순부상인경우는 10%, 그리고재산상의손실과교통체중은각각 5% 의감소를예측했다. < 그림 2> 의 GIDAS(German On-Depth Accident Study) 와 NHTSA(National Highway Traffic Administration) 에따르면, 전체사고의 70% 는앞면에서발생하며나머지 30% 는측면이나뒷면으로의충돌로보고되었다 [10]. 이러한이유로, 차량용레이더는전방을감지하는장거리용레이더위주로개발되어왔다. 이시스템은 77GHz 55 전자공학회지 _ 835

64 고석준 < 그림 1> ACC 를이용한경우사회적경제적이득 < 그림 3> 차량용레이더에서제공하는기능과제품군 < 그림 2> 위치에따른사고발생통계대역에서 FMCW (FM continuous wave) 방식을이용하여 300m까지의거리를감지하여사전충돌감지 (precrash sensing) 나충돌완화 (collision mitigation) 와같은안전운행을위해사용되어졌다. 최근에는장거리및단거리차량용레이더 (Long Range Radar: LRR and Short Range Radar: SRR) 를이용한능동적인안전장비들이개발되고있다. LRR에대한개발이이미마무리단계이며, 최근에는 79GHz대역에서동작하는 SRR에많은관심이모아지고있다. 본고에서는단거리차량용레이더인 UWB SRR에대한내용을위주로언급하고자한다. 기존의안전운행을위한장비는영상장비, 레이저, 또는초음파센서를이용하여주차를돕는데많이사용되어졌다. 그러나이러한장치들은어두운상황이나눈비내리는안좋은날씨상황에서는좋은성능을발휘하지못하였으며, 차량에부착하는부분에서도어려움을갖고있다. 초기에는장거리용레이더를이용하여사전충돌감지및 ACC 기능을제공하였으며, 현재는보다다양한기능을제공하기위해 < 그림 3> 과같이단거리용레이더 (UWB SRR: UltraWideBand Short Range Radar) 의역할이중요해지고있다. 다음은차량용레이더에서제공하는기능을요약한것이다. 1) Stop-and-go operation: 고속, 저속, 정지등다양한주행조건에서전, 후방차량과안전거리를유지하면서자동으로주행하는시스템, 차량의속도뿐만아니라전방차량과의거리를자동으로제어함. 2) 사전충돌경고 (Pre-crash warning) 3) 사각지역모니터링 (Blind spot monitoring) 4) 주차보조 (Parking aid) 5) 차선변경도움이 (Lane change assistant) 6) 후진시충돌경보 (Rear crash collision warning) Ⅱ. 스펙트럼 (Spectrum) 2001년, 차량생산업자들과공급업자들간의컨소시엄인 SARA(Short-range Automotive Radar frequency Allocation) 에서는단거리차량용레이더 (SRR) 센서에대한주파수할당이슈들을처음으로통합 조정하였다. SARA에는 FCC (Federal Communications Commission), ETSI (European Telecommunications Standards Institute), ITU (International Telecommunication Union) 등과같은국제표준화규격결정기구들로구성되어있다 [1]. 이를시작으로, 2002년에는미국 FCC에서 24GHz 대역을차량용레이더용으로사용할수있도록허가하였다 [1,4]. FCC에서정의한표준화규격은다음과같이정리할수있다. 주파수대역은 22 29GHz이다. fractional bandwidth는스펙트럼에서최고값으로부터 -10dB 지점에서의대역을의미하며적어도 836 _ The Magazine of the IEIE 56

65 차량용레이더의기술발전과동향 20% 이상이거나 500MHz 이상이어야한다. 중심주파수또는최고전력을갖는주파수는 GHz보다커야한다. 평균전력밀도는 dbm/mhz 보다작아야한다 GHz 대역에서천문학관측과지국센싱위성과의잠재적간섭을줄이기위하여수직면으로 30o 이상에서안테나사이드로브 (side lobes) 의 EIRP는 2014년까지 -76.3dBm/MHz를넘을수없도록되어있다. 최고전력방사 (emission) 근처의 50MHz 주파수대역에서 -17dBm/MHz로제한되어야한다. 최대 duty cycle은 0.4근처가된다. 2005년 1월 17일, EC(European Commission) 는차량용단거리레이더를 24GHz 주파수대역할당을승인하였다. 주파수영역은 GHz이지만, 2005년 7월 1일부터 2013년 6월 30일까지일시적으로사용하는것을목적으로할당하였다. 2013년중반이후는 79GHz 대역을할당하였으며, 이에대한표준화규격은다음과같다 [2, 4]. 단거리차량용레이더 (SRR) 센서들은 77 81GHz (79GHz 대역 ) 대역에서동작 ECC에서결정 (2004년 3월 19일 ) EIRP: -3dBm/MHz Peak limit: 55dBm EIRP 차량외부에서측정시최대평균전력밀도 (maximum mean power density) -9dBm/MHz EIRP < 그림 5> UWB SRR의개발로드맵 < 그림 6> 반송파주파수및 BW에따른해상도성능 < 그림 4> 는미국 FCC와유럽 EC 및 ETSI에서정한단거리차량용레이더의주파수스펙트럼규격을나타내고있다 GHz 대역은 ISM (Industrial, scientific, Medical) 주파수가할당되며, GHz는무선을이용한천문학 (radio astronomy observations) 과원거리센싱 (remote sensing) 용으로사용된다. 최종적으로, SARA에서는 < 그림 5> 에서와같이 24GHz대 UWB SRR 의개발은중단되며, 단거리용레이더로 26, 79GHz대역의사용을권장하고있다. < 그림 6> 은레이더의기술방식에따른속도, 거리, 각도의해상도의성능을그림으로나타낸것이다. 24GHz Narrow-Band(NB) 기술은해상도가너무커서다른기술들에비해가장좋지않다. 24/26GHz UWB 5GHz BW는거리해상도는좋으나각도와속도해상도는큰편으로단거리용레이더에사용된다. 77GHz 1GHz BW 기술은거리해상도가크므로, 주로장거리용레이더에사용된다. 79GHz 4GHz BW 기술은모든면에서해상도가좋으며, 향후단거리용레이더로사용할목적으로개발되고있다 [10]. < 그림 4> 단거리차량용레이더의 Spectrum mask 57 전자공학회지 _ 837

66 고석준 Ⅲ. UWB SRR 송수신기구조 < 그림 7 (a)> 는 1998년도에 M/A-COM에서제안한구조이다. 이시스템의동작방식은다음과같다. 24GHz의 DRO(Dielectric Resonator Oscillator) RF 신호는 3dB power splitter에의해송신기와수신기로분류된다. 고속 GaAs Schottky 스위치는송신기와수신기에서사용된다. 4MHz PRF(Pulse Repetition Frequency) 오실레이터는펄스를생성하기위해사용되며, 신호발생기에트리거 (trigger) 신호로사용된다. 신호발생기는두개의 SRD(Step Recovery Diode) 로구성된다 [8]. 모든영역을스캔 (scan) 하기위하여, 수신경로에있는고속스위치로의트리거펄스는조정가능한지연기 (electrically adjustable delay) 에의해지연된다. 특정전파거리에해당하는지연시간에대해이와일치하는지연시간이지연기에의해형성되며따라서이에해당하는거리게이트 (range gate) 가생성된다. 최소측정거리부터최대거리까지전체를측정하기위해스위핑 (sweeping) 신호에의해조정되며전체거리를측정한후초기화되어지연기는처음위치로되돌아간다. 따라서, 측정해야하는모든거리를검색하기위해서 는많은시간이소요된다. IF 출력 (output) 은 inphase 와 quadrature 채널로이루어지며수신 RF 신호와 LO pulse가일치되었을때최대값이된다. IF output을누적하여 SNR을증가시킬수있다 [8]. < 그림 7(b)> 의 ROACH는송신기부와수신기부로나누어진다. 클럭발생기 (clock generator) 에서생성된클럭을이용하여 PRF 생성기는 10MHz의클럭을발생한다. 송신기에서는주기적으로펄스생성기 (pulse generator) 에의해가우시안펄스가생성된다. 최종적으로, 반송파가곱해진후안테나를통해방사된다. 수신기에서는물체로부터반사되어안테나를통해들어오는신호를반송파와곱한후 inphase와 quadrature 채널신호를 ADC(Analog-to-Digital converter) 에의해샘플링한다. 샘플값들은 FPGA (fieldprogrammable gate array) 에서누적되면서저장된다. < 그림 7> 의구조가갖는가장큰차이점은거리게이트 (range gate) 를생성하는과정이다. M/A-COM에서제안한구조는지연기를이용하여수신기에서적절한지연신호를발생하여거리게이트 (range gate) 를생성하는반면에, ROACH 시스템에서는펄스폭과동일한클럭을갖는 ADC를이용하여거리게이트 (range gate) 를생성한다. 그러나 ROACH 시스템에서는매우짧은펄스를사용하기때문에매우높은샘플링주파수의 ADC가요구되어진다. Ⅳ. 간섭문제 (a) M/A-COM 에서제안한구조 [8] (b) Radar-0n-a-Chip (ROACH) 시스템 [10] < 그림 7> 단거리차량용 UWB 펄스레이더의송수신기구조 2012년 MOSARIM (MOre Safety for All by Radar Interference Mitigation) 에서는 < 그림 7> 과같은다양한경우에대한간섭문제를제기하였다. 테스트용으로아래와같이 7가지의경우로나누어분류하였다 [12]. 1) BTS1 : Victim and interferer passing each other. Victim is following target. 2) BTS2 : Interference with forward looking sensor, target is overtaking. 3) BTS3 : Oncoming interferer with high RSC (truck), target has low RCS (pedestrian). 838 _ The Magazine of the IEIE 58

67 차량용레이더의기술발전과동향 Interference from crossing traffic. 4) BTS4 : Traffic in the same direction with similar velocities. Target following 5) BTS5 : Interference from crossing traffic 6) BTS6 : Parking slot 7) BTS7 : Interference with backward looking sensor 다른차량에서발생된신호는 UWB SRR의수신기에서 ghost target으로매우크게나타날수있으며, 이를해결하기위한다양한송신신호및수신기구조가개발되고있다. (a) Front Sensors (b) Rear Sensors < 그림 9> 차량용레이더를사용하고있는회사들 < 표 1> 회사별시장점유율과사용레이더기술 Ⅴ. UWB SRR 제품현황 < 그림 9> 에나와있는보고에따르면, 2009년작성된기준으로개발된제품은대부분이 77GHz대의 FMCW방식의 LRR로일부회사에서만 24GHz대의 UWB SRR를개발하였음을알수있다 [10]. 그리고현재는 79GHz 대역에서의 UWB SRR로간섭문제를해결할수있는제품개발에중점을두고있다. 24GHz대역의 UWB SRR를사용하고있는회사를국가별로분류하면다음과같다. 1) 유럽 : BMW, DAIMLER 2) 미국 : CHRYSLER 3) 일본 : HONDA, MAZDA 2009년기준으로국내기업인현대, 기아는아직단거리레이더에대한개발이없는상태이다. Ⅵ. 결론 (1) BTS1 (2) BTS2 (3) BTS3 (4) BTS4 (5) BTS5 (6) BTS6 (7) BTS 7 < 그림 8> 간섭문제의테스트시나리오 1999년, Mercedes-Benz S-class는처음으로레이더를이용한적응형주행제어시스템을이용한차량을처음으로선보였다. 현재, 자율주행자동차가큰이슈로작용하며, 차량용레이더센서에대한관심또한커지고있다. 아직까지는자동차제조회사들이주로장거리용레이더에중점을두고있으나, 앞서가는회사들은이미단거리용레이더인 UWB SRR를개발하여다양한응용에적용하여사용하고있다. 국내의대표회사인현대기아는아직까지는이에대한대응이늦은편으로그격차는앞으로점점더커질것으로예상된다. 단거리용레이더인 UWB SRR는 24GHz대역에서 59 전자공학회지 _ 839

68 고석준 79GHz대역으로넘어가면서안테나및 RF단의기술을포함하는 MMIC와간섭문제에대한해결방안등향후해결해야하는문제점들도많이남아있는상황이다. 참고문헌 [1] I. Gresham, A. Jenkins et al., Ultra-wideband radar sensors for short-range vehicular applications, IEEE Trans. Microwave Theory and Techniques, Vol. 52, NO. 9, pp , Sept [2] Karl M. Strohm, Hans-Ludwig Bloecher, Robert Schneider, and Josef Wenger, Development of future short range radar technology, European Radar Conference, 2005 [3] Josef Wenger, Automotive radar - Status and Perspectives, IEEE CSIC 2005 [4] Martin Schneider, Automotive Radar - Status and Trends, GeMic 2005 [5] Hans Dominik, Short range radar - status of UWB sensors and their applications, European Radar Conference, 2007 [6] Bruno Neri and Sergio Saponara, Advances in technologies, architectures, and applications of highly-integrated lowpower radars, IEEE Trans. Aerospace and Electronic Systems Magazine, pp , January 2012 [7] Michael Klitz, An Automotive Short Range High Resolution Pulse Radar Network, Ph. D. Dissertation, Jan [8] W. Weidmann and D. Steinbuch, A High Resolution radar for short range automotive applications, in 28th European Microwave Conference, Amsterdam, Netherlands, 1998, pp [9] Mende, R, Zander, A A multifunctional Automotive Short Range Radar System, German Radar Symposium, GRS2000, Berlin, October 10-11, [10] CEPT Report 37, Automotive Short-Range Radar systems (SRR), [11] Mei Li, Robin J. Evans, Efstratios Skafidas, and Bill Moran, Randa-on-a-Chip (ROACH) IEEE conference, [12] Alicja Ossowska, Conducted laboratory and real world interference tests, European Radar Conference, 고석준 1996 년 2 월성균관대학교전자공학과 ( 공학사 ) 1998 년 2 월성균관대학교전자공학과 ( 공학석사 ) 2000 년 2 월성균관대학교전기전자및컴퓨터공학부 ( 공학박사 ) 2002 년 3 월 ~2004 년 5 월삼성전자책임연구원 2005 년 3 월 ~ 현재제주대학교부교수 < 관심분야 > 레이더및통신신호처리, Estimation and Detection. 840 _ The Magazine of the IEIE 60

69 The Institute of Electronics and Information Engineers 논문지논문목차 전자공학회논문지제 53 권 10 호발행 통신분야 [ 스위칭및라우팅 ] 원형안테나배열의위상차이분포특성을활용한코드벡터결정방식및성능평가 김희원, 서준엽, 성원진 4- 레벨홀로그래픽데이터저장장치를위한 12/16 변조부호 정성권, 이재진 V2V 환경에서 LTE 기반사이드링크시스템의새로운 LMMSE 채널보간기법에대한성능분석 추명훈, 문상미, 권순호, 이지혜, 배사라, 김한종, 김철성, 김대진, 황인태 반도체분야 [ 반도체재료및부품 ] 샘플홀드회로를이용한초퍼안정화기법이적용된저잡음증폭기 박영민, 남민호, 조경록 체내이식신경신호기록장치를위한저전압저전력아날로그 Front-End 집적회로 차혁규 [SoC 설계 ] 모드전환제어가능한듀얼모드벅변환기 조용민, 이태헌, 김종구, 윤광섭 컴퓨터분야 [ 멀티미디어 ] 데이터추론및클라우드호스팅기법을활용한최적에너지관리시스템구현및성능분석 김경신, 강문식 효율적인구현을위한안개제거방법의최적화 김민상, 박용민, 김병오, 김태환 61 전자공학회지 _ 841

70 논문지논문목차 신호처리분야 [ 영상신호처리 ] 변환영역에서 Non-local Means 방법을이용한효율적인영상잡음제거기법 김동민, 이창우 대조비개선을위한비대칭도특성을이용한적응적인레티넥스방식 오종근, 홍민철 광각영상을위한 ELBP 분류기를이용한초해상도기법과 CUDA 기반가속화 최지훈, 송병철 시스템및제어분야 [ 제어계측 ] 유량밸런스특성을활용한송유관누유감지시스템의오알람필터링알고리즘개발 김민성, 김희식, 정해균 [ 회로및시스템 ] 새로운초경량블록암호의하드웨어설계및구현 Gookyi Dennis A. N., 박승용, 류광기 [ 정보및제어심포지엄우수논문 ] 슬림스피커진동판의분할진동모드와열전달관계분석을통한진동패턴예측 김현갑, 김희식 영상소나를이용한수중물체외형복원에관한기초실험 이영준, 김태진, 최진우, 최현택 전도냉각파워커패시터의주파수응답곡선분석 안경문, 김희식 [ 신호처리및시스템 ] UV 젤네일을위한 LED 램프회로설계 김필중 산업전자분야 [ 컴퓨터응용 ] 듀얼에너지감산기법을이용한디지털 X-ray 영상최적화에관한연구 김대호, 이용구, 이영진 842 _ The Magazine of the IEIE 62

71 박사학위논문초록 김덕호 Deokho Kim 학위논문제목 KEY WORD 국문 : 파이프라인화된동적작업분배를이용한스케일스페이스를사용하는특징점추출알고리즘의가속화방법 영문 : Accelerating Feature Detection Using Scale-Space with Pipelined Dynamic Load Balancing 이미지프로세싱및컴퓨터비전, 특징점추출, SURF, 병렬컴퓨팅, 멀티스레딩 학위취득연세대학교취득년월 2016 년 2 월 지도교수 노원우 < 논문요약 > 본논문은스케일 - 스페이스를사용하는특징점추출알고리즘을가속하기위한방법에관하여연구하였다. 특징점추출 알고리즘을효율적으로가속화하기위해병렬알고리즘과최적화기법을연구하였다. 병렬알고리즘을위해스케일-스페이스에존재하는특징점의분포가확률적으로같은분포를갖도록하는스케일-스페이스파티션기법인버티컬파티셔닝기법을제안하였으며, 버티컬파티션을동적으로처리하는다이나믹파티션밸런싱및파이프라인화된다이나믹파티션밸런싱기법을제안하여작업량의동적분배가균일하도록향상될수있음을보였다. 또한, 최적화기법으로스케일 -스페이스를처리하는연산기법에내재된중복된연산을제거할수있는배드픽셀 / 스케일쉬프트방법과통합 Haar 파형요소반응계산을제안하였으며스케일-스페이스를처리함에있어서높은반응성을갖는특징점이될가능성이적은픽셀에대한연산을생략하는버티컬스키핑방법을제안하였다. 마지막으로이미지처리분야에서많이사용되는중첩된루프를효율적으로벡터화할수있는크로스루프스트립-마이닝기법을연구하여데이터레벨병렬성을향상시켰다. 성능평가실험을통해제안하는알고리즘은기존병렬화기법인 P-SURF와비교하여최대 1.42배의성능향상을얻을수있었으며 SURF 알고리즘의성능을최대 21.81배향상시킬수있었다. 63 전자공학회지 _ 843

72 The Institute of Electronics and Information Engineers 제목 : 생활, 산업, 사회에가져오는대변혁 2030 년의 IoT 저자 : 쿠와즈코타로 ( 노무라종합연구소 ) 펴낸곳 : 동양경제신문사출판일 : 2016 년 4 월 1 일크기, 페이지수 : 21cm, 175p. 서평 휴대전화와인터넷이본격적으로보급이시작된 1995 년부터약 20 년을지나, 네트워크의물결은지금까지의사람과의커뮤니케이션부터기기와설비, 인프라등의사물과관련되는서비스로, 더욱그영향범위를확대해가고있다. 더욱이 M2M(Machine to Machine Communication) 으로불리고있던기계와기계의통신은, 단순한프로토콜이 IP 프로토콜로변한것이아닌, 새로운서비스, 애플리케이션, 사회인프라와의연대와발전을목표로하여, 그범위를확대하여왔고, 사물을포함한 IoT(Internet of Things) 라는새로운컨셉으로진화를이루고있다. 본서는, 현재부터 2030 년경의미래를겨냥하고, IoT 의향후의동향에대해부감해보는시도이다. IoT 의커다란흐름은, ICT 중의하나의기술, 서비스트렌드를넘어서생활, 도시와산업, 사회에까지영향을넓힐가능성을가지고있고, 좁은의미로 ICT 에관계하는기업과업계에만국한되는것이아니다. 폭넓게사회전반에서, 지구규모에서의삼라만상을포함한다고도할수있다. 그의미로, IT 버블기에선전되었던유비쿼터스컴퓨팅의 Phase 2 라고할수있는폭과깊이를가지고있다. 반면, 지금까지의인간주체의인터넷의보급과, 이제부터의기기, 산업, 사회를타깃으로한네트워크화는, 시장에서잘화제가되고있는전송속도, 데이터포맷과프로토콜등의전형적인신기술요건만이아닌, 기술이외의비즈니스모델 ( 수익획득 분배 ), 상관습, 법규제등다양하게관계자간의이해관계의조정이필요해진다. 그리고사회인프라로서, 상황에따라서는인명에직결되는시스템으로서의신뢰성확보도극히중요하고, 이들요건, 특성에서 IoT 가폭넓게사회에보급하기까지의기간은길어질것으로예상된다. 말할필요도없이, 적지않은점에서, 지금까지의전형적인사무실이나엔터테인먼트에최적화된 ICT 와는다른양상을나타낼가능성이높다. 따라서단순히지금까지의 ICT 산업의연장선상에서생각하는것은, ICT 산업계로봐서도, 어쩌면커다란리스크를만들어낼수도있을것이다. 아마도, 지금까지의 IoT 는제 1 로, 공급측만이아닌, 이용자측의주도권관여가보다강해질것이다. 그리고제 2 로, 기술요건과기술의가능성이상으로, 비즈니스모델로성립되기위한요건, 과제의해결이그중요성을늘릴가능성이높다. 무엇보다도 ICT 산업에종사하여온사람으로, 지금까지의 ICT 업계에있어서의생각을답습하는것에따른리스크를분명하게하고, 새로운시장환경변화에서 IT 산업의발전에, 미력이나마공헌되기를바란다. 서평작성자 : 이원규, 해동일본정보기술센터총괄처장 844 _ The Magazine of the IEIE 64

73 The Magazine of the IEIE 정보교차로 국 내외에서개최되는각종학술대회 / 전시회를소개합니다. 게재를희망하시는분은간략한학술대회정보를이메일로보내주시면게재하겠습니다. 연락처 : edit@theieie.org 2016 년 11 월 일자학술대회명개최장소홈페이지 / 연락처 th International Conference on Network and Service Management (CNSM) École de Technologie Supérieure ÉTS, Montreal, QC, Canada MILCOM IEEE Military Communications Conference (MILCOM) Baltimore Convention Center, Baltimore, MD, USA nd International Conference on Robotics and Artificial Intelligence (ICRAI) College of Electrical and Mechanical Engineering NUST Campus, Rawalpindi, Pakistan IEEE 19th International Conference on Intelligent Transportation Systems (ITSC) Sheraton Rio Hotel & Resort, Rio de Janeiro, Brazil IEEE First International Geoscience and Remote Sensing Conference (CICT) Mayor Auditorium of the University Manuela Beltran Avenida, Colombia ieee.udistrital.edu.co/cict/ International Conference on Electrical Systems for Aircraft, Railway, Ship Propulsion and Road Vehicles & International Transportation Electrification Conference (ESARS-ITEC) INP-ENSEEIHT, Toulouse, France th International Conference & Expo on Emerging Technologies for a Smarter World (CEWIT) The Melville Marriott Long Island, Melville, NY, USA IEEE Cybersecurity Development (SecDev) Hyatt Regency Cambridge, Boston, MA, USA secdev.ieee.org IEEE 5G Summit Seattle SEATTLE UNIVERSITY, WA, USA 5gsummit.org/ th IEEE-GCC Conference and Exhibition (GCCCE) Gulf Conference and Exhibition center, Manama, Bahrain ieee-gcc.org th Asilomar Conference on Signals, Systems and Computers Asilomar Conference Grounds, Pacific Grove, CA, USA asilomarsscconf.org/ th Saudi International Conference on Information Technology (Big Data Analysis) (KACSTIT) KACST Headquarters - Conference Hall, Riyadh, Saudi Arabia events.kacst.edu.sa/en/it16/pages/home. aspx IEEE 13th International Conference on Signal Processing (ICSP) Wangjiang Hotel Chengdu, Chengdu, China icsp.bjtu.edu.cn th Mediterranean Conference on Power Generation, Transmission, Distribution and Energy Conversion (MedPower) Hotel Metropol, Belgrade, Serbia IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS) Indian Institute of Science, Bangalore, India IEEE International Conference on Smart Grid Communications (SmartGridComm) Sheraton Four Points, Sydney, Australia sgc2016.ieee-smartgridcomm.org/ th International Wheelset Congress (IWC) IHG Century City, Chengdu, China IEEE 41st Local Computer Networks Conference Workshops (LCN Workshops) The Address Dubai Mall, Dubai, United Arab Emirates IEEE 57th Annual Symposium on Foundations of Computer Science (FOCS) Hyatt Regency New Brunswick, NJ, USA focs16/cfp.html International Conference on Functional-Structural Plant Growth Modeling, Simulation, Visualization and Applications (FSPMA) Holiday Inn Qingdao Expo, Qingdao, China 65 전자공학회지 _ 845

74 일자학술대회명개최장소홈페이지 / 연락처 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) Doubletree by Hilton Hotel Austin, TX, USA IEEE Asian Solid-State Circuits Conference (A-SSCC) Toyama International Conference Center, Toyama, Japan IEEE International 3D Systems Integration Conference (3DIC) Parc Central, San Francisco, CA, USA Military Communications and Information Systems Conference (MilCIS) National Convention Centre, Canberra, Australia IEEE 36th Central American and Panama Convention (CONCAPAN XXXVI) Hotel Wyndham Herradura, Heredia, Costa Rica concapan2016.com/ IEEE International Autumn Meeting on Power, Electronics and Computing (ROPEC) TBD, Ixtapa, Zihuatanejo, Mexico International Conference on Fuzzy Theory and Its Applications (ifuzzy) The Evergreen Resort Hotel, Taichung, Taiwan cia.csie.ncut.edu.tw/ifuzzy2016/ IEEE Healthcare Innovation Point-Of-Care Technologies Conference (HI-POCT) Hyatt Ziva Blvd. Cancun, Mexico hipt.embs.org/2016/ International Automatic Control Conference (CACS) The Evergreen Resort Hote, Taichung, Taiwan resource.ncut.edu.tw/cacs2016/index.html th International Conference on Sensing Technology (ICST) Southeast University, Nanjing, China ins.seu.edu.cn/icst SC16: International Conference for High Performance Computing, Networking, Storage and Analysis UT, USA sc16.supercomputing.org/ th International Conference on Electrical Machines and Systems (ICEMS) APA Hotel & Resort Tokyo Bay Makuhari, Chiba, Japan th International Conference on Control, Automation, Robotics and Vision (ICARCV) 8 Prachanukroh Road Patong Beac, Phuket, Thailand icarcv.org/2016/home.asp IEEE Conference on Electromagnetic Field Computation (CEFC) Hilton Miami Downtown, Miami, FL, USA th Mediterranean Microwave Symposium (MMS) World Congress on Internet Security (WorldCIS) Al Ain University of Science and Technology, Abu Dhabi, United Arab Emirates Heathrow Windsor Marriott Hotel, London, United Kingdom mms2016.aau.ac.ae/ International Conference on Advances in Electrical, Electronic and Systems Engineering (ICAEES) Putrajaya Marriott Hotel, Putrajaya, Malaysia Loughborough Antennas & Propagation Conference (LAPC) IEEE International Test Conference (ITC) Loughborough University, Leicestershire, United Kingdom Fort Worth Convention Center, TX, USA itctestweek.org IEEE-RAS 16th International Conference on Humanoid Robots (Humanoids) Fiesta American Corel Beach, Cancún, Quintana Roo, Mexico Dynamics of Systems, Mechanisms and Machines (Dynamics) Omsk State Technical University, Omsk, Russia conf.ict.nsc.ru/dynamics-2016/en IEEE International Conference on the Science of Electrical Engineering (ICSEE) Hilton Eilat Queen of Sheba Hotel, Eilat, Israel th India International Conference on Power Electronics (IICPE) Electrical and Instrumentation Engineering Department, Patiala, India htm International Forum on Mobile Technology and Applications (IFMTA) Hilton Sukhumvit Bangkok, Bangkok, Thailand Second International Innovative Applications of Computational Intelligence on Power, Energy and Controls with their Impact on Humanity (CIPECH) Krishna Institute of Engineering and Technology, Ghaziabad, India TENCON IEEE Region 10 Conference TBD, Singapore th Telecommunications Forum (TELFOR) SAVA Center, Belgrade, Serbia telfor.org 846 _ The Magazine of the IEIE 66

75 일자학술대회명개최장소홈페이지 / 연락처 First International Conference on Computing and Communication (ICCC) Department of Information Technology University of Haripur, Pakistan IEEE 7th Power India International Conference (PIICON) IEEE Innovative Smart Grid Technologies - Asia (ISGT-Asia) Government Engineering College Bikaner, India Melbourne Convention and Exhibition Centre, Melbourne, Australia sites.ieee.org/isgt-asia-2016/ International Conference on Brain Inspired Cognitive Systems (BICS) TBD, Beijing, China bii.ia.ac.cn/bics-2016/ IEEE Congreso Argentino de Ciencias de la Informática y Desarrollos de Investigación(CACIDI) TBD, Buenos Aires, Argentina IEEE 18th Electronics Packaging Technology Conference (EPTC) Suntec Singapore Convention & Exhibition Centre, Singapore th International Electric Drives Production Conference (EDPC) Convention Center Nuremberg, Germany 년 12 월 Seventh International Conference on Intelligent Control and Information Processing (ICICIP) Angkor, Cambodia auto.hust.edu.cn/icicip nd International Conference on Open Source Software Computing (OSSCOM) Lebanese University, Central Administration Building, Mathaf, Beirut, Lebanon osscom2016.osscom.org/ National Conference on Electrical, Electronics and Biomedical Engineering (ELECO) BAOB-Campus of (Union of Academic Chambers of Bursa), Bursa, Turkey th International Conference on Industrial and Information Systems (ICIIS) Department of Electronics and Communication Engineering, Roorkee, India International Conference of Optics & Photonics Taiwan (OPTIC) National Taiwan Univeristy of Science and Technology, Taipei, Taiwan IEEE International Electron Devices Meeting (IEDM) IEEE Globecom Workshops (GC Wkshps) Hilton San Francisco Union Square, San Francisco, CA, USA Washington Hilton, Washington, DC, USA globecom2016.ieee-globecom.org GLOBECOM IEEE Global Communications Conference Washington Hilton Hotel, Washington DC, USA th World Renewable Energy Congress (WREC) Crown Plaza Hotel, Bahrain IEEE 2nd Annual Southern Power Electronics Conference (SPEC) The University of Auckland, Auckland, New Zealand th International Multi-Topic Conference (INMIC) Air University, Islamabad, Pakistan portals.au.edu.pk/inmic IEEE International Conference on Big Data (Big Data) Hyatt Regency Bethesda, Bethesda, MD, USA cci.drexel.edu/bigdata/bigdata IEEE MTT-S International Microwave and RF Conference (IMaRC) Hotel Pullman, New Delhi, India imarc-ieee.org IEEE International Conference on Industrial Engineering and Engineering Management (IEEM) Bali Nusa Dua Convention Centre, Bali, Indonesia th International Conference for Internet Technology and Secured Transactions (ICITST) Catalonia Barcelona Plaza Hotel, Barcelona, Spain Asia-Pacific Microwave Conference (APMC) Hotel Pullman, New Delhi, India IEEE EMBS Conference on Biomedical Engineering and Sciences (IECBES) TBD, Malaysia IEEE Symposium Series on Computational Intelligence (SSCI) Royal Olympic Hotel, Athens, Greece ssci2016.cs.surrey.ac.uk/ Future Technologies Conference (FTC) Hyatt Fisherman's Wharf, San Francisco, CA, USA saiconference.com/ftc 전자공학회지 _ 847

76 일자학술대회명개최장소홈페이지 / 연락처 TRON Symposium (TRONSHOW) Tokyo Midtown, Tokyo, Japan IEEE Global Conference on Signal and Information Processing (GlobalSIP) Crystal Gateway Marriott Hotel, Arlington, VA, USA Fifth International Conference on Eco-friendly Computing and Communication Systems (ICECCS) Maulana Azad National Institute of Technology, Bhopal, India iceccs.in/ IEEE 4th International Conference on MOOCs, Innovation and Technology in Education (MITE) Thiagarajar College Of Engineering, Madurai, India mite2016.com IEEE International Conference on Electronics, Circuits and Systems (ICECS) Grimaldi Forum, Monte Carlo, Monaco adam.makosiej@cea.fr World Congress on Sustainable Technologies (WCST) Heathrow Windsor Marriott Hotel, London, United Kingdom World Congress on Industrial Control Systems Security (WCICSS) Heathrow Windsor Marriott Hotel, London, United Kingdom wcicss.org/ IEEE International Conference on Data Mining (ICDM) World Trade Center Barcelona, Barcelona, Spain icdm2016.eurecat.org/ International Symposium on Semiconductor Manufacturing (ISSM) KFC Hall, Tokyo, Japan International Symposium on Integrated Circuits (ISIC) Singapore IEEE International Conference on Cloud Computing Technology and Science (CloudCom) Alvisse Parc Hotel, Luxembourg 2016.cloudcom.org IEEE 3rd World Forum on Internet of Things (WF-IoT) Hyatt Reston, VA, USA IEEE Micro- and Nanoengineering in Medicine Conference (MNMC) Hilton Waikoloa Village, HI, USA IEEE 55th Conference on Decision and Control (CDC) TBD, CA, USA cdc2016.ieeecss.org/ IEEE/SICE International Symposium on System Integration (SII) Sapporo Convention Center, Sapporo, Japan IEEE 22nd International Conference on Parallel and Distributed Systems (ICPADS) Wuhan Hetian Hotel, WUHAN, China grid.hust.edu.cn/icpads2016/ Asia-Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA) Ramada Plaza Hotel, Jeju, Korea (South) IEEE Electrical Design of Advanced Packaging and Systems (EDAPS) HI, USA IEEE International Conference on Power Electronics, Drives and Energy Systems (PEDES) Mar Baselios College of Engineering, Trivandrum, India nd International Conference on Contemporary Computing and Informatics (IC3I) Amity University, Greater Noida, India ic3i.org/2015/index.html International Conference on Electrical Power and Energy Systems (ICEPES) Maulana Azad National Institute Of Technology, Bhopal, India International Conference on Open Source Systems & Technologies (ICOSST) Al-Khawarizmi Institute of Computer Science (KICS), Lahore, Pakistan icosst.kics.edu.pk International Symposium on Antennas and Propagation (APSYM) Seminar Complex, CUSAT, Cochin, India apsym.cusat.ac.in International Computer Symposium (ICS) National Chung Cheng University, Chiayi, Taiwan Sixth International Symposium on Embedded Computing and System Design (ISED) Indian Institute of Technology, Patna, Patna, India ised2016.org/ IEEE International Conference on Computational Intelligence and Computing Research (ICCIC) Agni College of Technology, Chennai, India itfrindia.org/2016iccic/ IEEE International Conference on Bioinformatics and Biomedicine (BIBM) Kylin Villa, Shenzhen, China cci.drexel.edu/ieeebibm/bibm th International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT) Zijin Yuan, Guangzhou, China sist.sysu.edu.cn/pdcat2016/ International Conference on Engineering and Technology (ICET) karpagam college of Engineering, India _ The Magazine of the IEIE 68

77 일자학술대회명개최장소홈페이지 / 연락처 International Conference on Control, Instrumentation, Communication and Computational Technologies (ICCICCT) A/C Auditorium, Kanyakumari District, India IEEE Annual India Conference (INDICON) J N Tata Auditorium, Bangalore, India International Conference on Design and Manufacturing (IConDM) Indian Institute of Information Technology, Chennai, India International Conference on Medical Engineering, Health Informatics and Technology (MediTec) United International University, Dhaka, Bangladesh meditec.uiu.ac.bd/ th IEEE International Conference On Machine Learning And Applications (ICMLA) Los Ageles, CA, USA International Conference on Robotics and Automation for Humanitarian Applications (RAHA) Amrita School of Engineering, Kollam, India raha2016.org/ th International Conference on Sciences of Electronics, Technologies of Information and Telecommunications (SETIT) Hotel in Hammamet, Tunisia th International Conference on Computer and Information Technology (ICCIT) North South University, Dhaka, Bangladesh iccit.org.bd/ International Conference on Robotics:Current Trends and Future Challenges (RCTFC) SASTRA University Campus, Thanjavur, India IEEE 23rd International Conference on High Performance Computing (HiPC) Hyderabad International Convention Center, Hyderabad, India th National Power Systems Conference (NPSC) IIT Bhubaneswar, Bhubaneswar, India Conference on Computing, Analytics and Security Trends (CAST) College of Engineering, Pune, India cast2016.coep.org.in/ International Conference on Frontiers of Information Technology (FIT) Serena Hotel, Islamabad, Pakistan th International Conference on Computer Engineering & Systems (ICCES) Ain Shams Univeristy, Cairo, Egypt th International Conference on Electrical and Computer Engineering (ICECE) Department of Electrical and Electronic Engineering, Dhaka, Bangladesh IEEE Region 10 Humanitarian Technology Conference (R10-HTC) Dayalbagh Educational Institute, Agra, India IEEE Bombay Section Symposium (IBSS) Vidya Pratishthan's College of Engineering, India ibss2016.ieeebombay.org/ Fourth International Conference on Parallel, Distributed and Grid Computing (PDGC) Jaypee University of Information Technology, Solan, India International Conference on Information Technology (ICIT) International Institute of Information Technology, Bhubaneswar, India icit.github.io/ International Conference on Global Trends in Signal Processing, Information Computing and Communication (ICGTSPICC) SSBT's College of Engineering & Technology, Jalgoan, India icspicc.sscoetjalgaon.ac.in International Conference on Recent Advances and Innovations in Engineering (ICRAIE) Poornima College of Engineering, JAIPUR, India th International Conference on Computational Intelligence and Communication Networks (CICN) MIR Labs Chapter, Jabalpur, Dehradun, India cicn.in International Conference on Signal Processing and Communication (ICSC) Jaypee Institute of Information Technology, Noida, India Eighteenth International Middle East Power Systems Conference (MEPCON) Al-Masah Hotel, Cairo, Egypt th International Computer Engineering Conference (ICENCO) Four Seasons Hotel First Residence Giza, Egypt ICENCO.eng.cu.edu.eg th International Conference on Communication Systems and Networks (COMSNETS) Chancery Pavilion Hotel, Bangalore (Bengaluru), India International Conference on Quality, Productivity Reliability, Optimization and Modelling (ICQPROM) Manav Rachna International University, Faridabad, India th International Conference on Intelligent Systems and Control (ISCO) Karpagam College of Engineering, Coimbatore, India 69 전자공학회지 _ 849

78 일자학술대회명개최장소홈페이지 / 연락처 IEEE 7th International Advance Computing Conference VNR VJIET, Hyderabad, India iacc2017.com th IEEE Annual Consumer Communications & Networking Conference (CCNC) Flamingo Las Vegas, NV, USA ccnc2017.ieee-ccnc.org IEEE 7th Annual Computing and Communication Workshop and Conference (CCWC) Hotel Stratosphere, Las Vegas, NV, USA ieee-ccwc.org th International Bhurban Conference on Applied Sciences and Technology (IBCAST) National Centre for Physics Quaid-i- Azam University, Islamabad, Pakistan International Conference on Computer, Communication and Signal Processing (ICCCSP) Sri Sivasubramaniya Nadar College of Engineering, Chennai, India icccsp.com th International Conference on Cloud Computing, Data Science & Engineering- Confluence (Confluence) Department of Computer Science & Engineering, Noida, India IEEE Radio and Wireless Symposium (RWS) Hyatt Regency Phoenix Downtown, Phoenix, AZ, USA International Conference on Communication, Control, Computing and Electronics Engineering (ICCCCEE) Karary University, Omdurman Sudan iccccee17.iccneee15.org nd Asia and South Pacific Design Automation Conference (ASP-DAC) Makuhari Messe, Chiba, Japan Third Asian Conference on Defence Technology (ACDT) TBD, Phuket, Thailand IEEE 30th International Conference on Micro Electro Mechanical Systems (MEMS) Rio Las Vegas Hotel and Casino, Las Vegas, NV, USA Annual Reliability and Maintainability Symposium (RAMS) Rosen Plaza Hotel, Orlando, FL, USA International Conference on Computing, Networking and Communications (ICNC) Santa Clara Marriott, Santa Clara, CA, USA International Conference on Nascent Technologies in Engineering (ICNTE) Fr. CRIT, Vashi, Navi Mumbai, India IEEE IAS Electrical Safety Workshop (ESW) 2017 년 2 월 Peppermill Resort Spa Casino, Reno, NV, USA th International Conference on Signal Processing and Integrated Networks (SPIN) Dept. of ECE, ASET, Amity University, India amity.edu/spin2017/index.html International Conference on Innovations in Electrical, Electronics, Instrumentation and Media Technology (ICEEIMT) Karunya University, Karunya Nagar, Coimbatore, India International Conference on Emerging Trends & Innovation in ICT (ICEI) Pune Institute of Computer Technology, Pune, India IEEE International Symposium on High Performance Computer Architecture (HPCA) Hilton, Austin, TX, USA IEEE International Solid- State Circuits Conference - (ISSCC) San Francisco Marriott, CA, USA rd International Conference on Computational Intelligence & Communication Technology (CICT) ABES Engg College, Ghaziabad, India Information Theory and Applications Workshop (ITA) Catamaran Resort, San Diego, CA, USA IEEE EMBS International Conference on Biomedical & Health Informatics (BHI) Double Tree Hotel, Orlando, FL, USA bhi.embs.org/2017/ th International Conference on Information Systems and Economic Intelligence / IEEE International Technology Management Conference (SIIE/ITMC) TBD, Marrakech, Morocco International Conference on Algorithms, Methodology, Models and Applications in Emerging Technologies (ICAMMAET) Bharath Institute of Higher Education and Research, CHENNAI, India deanresearch@bharathuniv.ac.in International Conference on Electrical, Computer and Communication Engineering (ECCE) Best Western Plus Heritage, Cox's Bazar, Bangladesh th International Conference on Advanced Communication Technology (ICACT) Pheonix Park Hotel, PyeongChang, Korea (South) _ The Magazine of the IEIE 70

79 일자학술대회명개최장소홈페이지 / 연락처 IEEE 8th Latin American Symposium on Circuits & Systems (LASCAS) TBD, Bariloche, Argentina Seminar on Detection Systems Architectures and Technologies (DAT) Cercle National de l Armée CNA (Hotel), Algeria Accueil.php International Conference on Informatics, Health & Technology (ICIHT) King Saud bin Abdulaziz University for Health Sciences,Riyadh, Saudi Arabia iciht.org/ International Conference on Electronics, Communications and Computers (CONIELECOMP) Universidad de las Américas Puebla, Cholula, Mexico ict.udlap.mx/conielecomp/2017/ Second International Conference on Electrical, Computer and Communication Technologies (ICECCT) SVS College of Engineering, Coimbatore, India IEEE Power and Energy Conference at Illinois (PECI) I Hotel and Conference Center, Champaign, IL, USA peci.ece.illinois.edu/ National Conference on Parallel Computing Technologies (PARCOMPTECH) National Institute of Advanced Studies (NIAS), Bangalore, India parcomptech.garudaindia.in/ nd International Conference on Computing and Communications Technologies (ICCCT) SRI SAI RAM ENGINEERING COLLEGE, Chennai, India th International Conference on Electronics and Communication Systems (ICECS) Karpagam College of Engineering, Coimbatore, India International Conference on Data Management, Analytics and Innovation (ICDMAI) Zeal Education Society, Pune, India nd International Scientific-Professional Conference on Information Technology (IT) Hotel Zabljak, Zabljak, Montenegro IEEE Electron Devices Technology and Manufacturing Conference (EDTM) Toyama International Conference Center, Toyama, Japan 년 3 월 th International Conference on Computing for Sustainable Global Development (INDIACom) Bharati Vidyapeeth s Institute of Computer Applications and Management (BVICAM), New Delhi, India bvicam.ac.in/indiacom/ rd URSI Regional Conference on Radio Science (URSI- RCRS) Fortune Select Grand Ridge Hotel, TIRUPATI, India ursircrs2017.narl.gov.in Twenty-third National Conference on Communications (NCC) Center for Industrial Consultancy & Sponsored Research, Chennai, India ncc2017.org/ International Conference on Electrical Engineering (ICEE) University of Eng. & Tech. Lahore, Pakistan icee2017/index.html Conference on Emerging Devices and Smart Systems (ICEDSS) MAHENDRA COLLEGE, India ENGINEERING IEEE Aerospace Conference Yellowstone Conference Center, MT, USA ICASSP IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) Hilton New Orleans Riverside, New Orleans, LA, USA IEEE International Symposium on Dynamic Spectrum Access Networks (DySPAN) Hyatt Regency Baltimore Inner Harbor, Baltimore, MD, USA SICE International Symposium on Control Systems (SICE ISCS) Okayama University, Okayama, Japan thkatay@ipc.shizuoka.ac.jp th International Conference on Power, Control & Embedded Systems (ICPCES) Department of Electrical Engineering, Allahabad, India nandkishor@mnnit.ac.in International Conference on Inventive Communication and Computational Technologies (ICICCT) Ranganathan Engineering College, India icct2017.com/index.html IEEE Integrated STEM Education Conference (ISEC) Princeton University, Princeton, NJ, USA ewh.ieee.org/conf/stem/index.html IEEE International Conference on Pervasive Computing and Communications (PerCom) Sheraton Kona Resort & Spa at Keauhou Bay, Kailua-Kona, HI, USA IEEE International Conference on Software Testing, Verification and Validation (ICST) Waseda-university Nishiwaseda campus, Tokyo, Japan aster.or.jp/conference/icst 전자공학회지 _ 851

80 일자학술대회명개최장소홈페이지 / 연락처 th International Symposium on Quality Electronic Design (ISQED) Santa Clara Convention Center, 5001 Great America Pkwy, CA, USA International Conference on Medical and Biological Engineering (CMBEBIH) International Burch University, Sarajevo, Bosnia and Herzegovina International Conference on Power and Embedded Drive Control (ICPEDC) Sri Sivasubramaniya Nadar College of Engineering, ]Chennai, India icpedc2017.org/ Fourth International Conference on Signal Processing,Communication and Networking (ICSCN) Anna University, Chennai, India th International Conference on Innovations in Information, Embedded and Communication Systems karpagam college of Engineering, Coimbatore, India IEEE Virtual Reality (VR) Manhattan Beach Marriott, CA, USA ieeevr.org th European Conference on Antennas and Propagation (EUCAP) Palais des Congrès, Paris, France IEEE Wireless Communications and Networking Conference (WCNC) HYATT REGENCY SAN FRANCISCO, CA, USA IEEE World Engineering Education Conference (EDUNINE) TBD, Santos, Brazil International Conference on Wireless Communications, Signal Processing and Networking (WiSPNET) Sri Sivasubramaniya Nadar College of Engineering, Chennai, India International Conference on Computation of Power, Energy Information and Commuincation (ICCPEIC) Adhiparasakthi Engineering College, India iccpeic.weebly.com IEEE International Conference on Industrial Technology (ICIT) Hilton Toronto, ON, Canada st Annual Conference on Information Sciences and Systems (CISS) The Johns Hopkins University, MD, USA ciss.jhu.edu IEEE International Conference on Intelligent Techniques in Control, Optimization and Signal Processing (INCOS) Kalasalingam University, Srivilliputhur, India drdevaraj.in/incos IEEE Winter Conference on Applications of Computer Vision (WACV) Hyatt Vineyard Creek Hotel, Santa Rosa, CA, USA medioni@usc.edu International Applied Computational Electromagnetics Society Symposium - Italy (ACES) Grand Hotel Mediterraneo, Firenze, Italy IEEE Applied Power Electronics Conference and Exposition (APEC) Tampa Convention Center, Tampa, FL, USA SoutheastCon 2017 Embassy Suites Charlotte, NC, USA sites.ieee.org/southeastcon IEEE Region 5 Meeting Denver Marriott Tech Center, Denver, CO, USA lookjr@yahoo.com 852 _ The Magazine of the IEIE 72

81 The Magazine of the IEIE 특별회원사및후원사명단 회원명 대표자 주소 전화 홈페이지 AP 위성통신 류장수 서울시금천구가산디지털2로 98 2동 9층 FCI 한상우 경기도성남시분당구판교로 255번길 35( 삼평동 ) 실리콘파크 B동 7층 I&C테크놀로지 박창일 경기도성남시분당구판교로 255번길 24 아이앤씨빌딩 KT 황창규 경기도성남시분당구정자동 LDT 정재천 충남천안시서북구한들1로 WE빌딩 LG전자 구본준 서울시영등포구여의도동 LIG 넥스원 이효구 서울시서초구강남대로 369( 서초동, 나라빌딩 ) RadioPulse 권태휘 경기도성남시분당구대왕판교로 660 유스페이스1A 1106호 ( 삼평동 ) SK Telecom 장동현 서울특별시중구을지로65( 을지로2가 ) SK T-타워 SK 하이닉스 박성욱 경기도이천시부발읍아미리산 국제종합측기 박재욱 서울특별시강남구강남대로 354 ( 역삼동 831, 혜천빌딩 10F, 12F) 나노종합기술원 이재영 대전광역시유성구대학로 291 ( 구성동, 한국과학기술원 ) 네이버 ( 주 ) 김상헌 경기도성남시분당구불정로 6 ( 정자동그린팩토리 ) 넥서스칩스 Douglas M. Lee 서울시강남구역삼동 넥스트칩 김경수 경기도성남시분당구판교로 323 벤처포럼빌딩 ( 주 ) 넥스파시스템 이상준 서울특별시성동구자동차시장1길 누리미디어 최순일 서울시영등포구선유로 63, 4층 ( 문래동 6가 ) 다우인큐브 이예구 경기도용인시수지구디지털벨리로 81 ( 죽전동디지털스퀘어 2층 ) 대구테크노파크 송인섭 대구시달서구대천동 대덕G.D.S 이희준 경기도안산시단원구산단로 63( 원시동 ) 대덕전자 김영재 경기도시흥시소망공원로 335 ( 정왕동 ) 대성전기 이철우 경기도안산시단원구산단로 31 ( 원시동, 8-27블럭 ) ( 재 ) 대전테크노파크 권선택 대전시유성구테크노9로 35 대전테크노파크 ( 주 ) 더즈텍 김태진 경기도안양시동안구학의로 292 금강펜테리움IT타워 A동 1061호 덴소풍성전자 김경섭 경남창원시성산구외동 동부하이텍 최창식 경기도부천시원미구수도로 동아일렉콤 손성호 경기도용인시처인구양지면남곡로 동운아나텍 김동철 서울시서초구서초동 아리랑타워 9층 디엠티 김홍주 대전광역시유성구테크노11로 라온텍 김보은 경기도성남시분당구황새울로360번길 42, 18층 ( 서현동 AK플라자 ) 라이트웍스 서인식 서울강남구테헤란로88길 14, 4층 ( 신도리코빌딩 ) 만도 성일모 경기도성남시분당구판교로 255번길 문화방송 안광한 서울시마포구성암로 삼성전자 권오현 서울시서초구서초2동 삼성전자빌딩 삼화콘덴서 황호진 경기도용인시처인구남사면경기동로 227 ( 남사면북리 124) 서연전자 조명수 경기도안산시단원구신원로 세미솔루션 이정원 경기도용인시기흥구영덕동 1029 흥덕U타워지식산업센터 20층 2005호 세원텔레텍 김철동 경기도안양시만안구전파로44번길 ( 주 ) 스카이크로스코리아 조영민 경기수원시영통구영통동 디지털엠파이어빌딩 C동 801호 ( 주 ) 시솔 이우규 서울시강서구공항대로 61길 29 서울신기술센터 A동 202호 전자공학회지 _ 853

82 회원명 대표자 주소 전화 홈페이지 실리콘마이터스 허염 경기도성남시분당구대왕판교로 660 유스페이스-1 A동 8층 실리콘웍스 한대근 대전시유성구탑립동 ( 주 ) 쏠리드 정준, 이승희 경기도성남시분당구판교역로 220 쏠리드스페이스 씨자인 김정표 경기성남시분당구구미동 보명프라자 아나패스 이경호 서울시구로구구로동 신세계아이앤씨디지털센타 7층 아바고테크놀로지스 전성민 서울시서초구양재동 아이닉스 황정현 수원시영동구덕영대로 1556번길 16, C동 1004호 ( 영통동, 디지털엠파이어 ) 아이디어 황진벽 서울마포구연남동 번지대원빌딩 5층 ( 주 ) 아이에이 김동진 서울송파구송파대로 22길 5-23 ( 문정동 ) 안리쓰코퍼레이션 ( 주 ) 토루와키나가 경기도성남시분당구삼평동 681번지 H스퀘어 N동 5층 502호 ( 주 ) 알파스캔디스플레이 류영렬 서울특별시강서구허준로 217 가양테크노타운 202호 에디텍 정영교 경기도성남시분당구삼평동 621번지판교이노벨리 B동 1003호 에스넷시스템 박효대 서울특별시강남구선릉로 514 ( 삼성동 ) 성원빌딩 10층 에스엘 이충곤 경북경산시진량읍신상리 에이치앤티테크 강임성 대전광역시유성구용산동 에이투테크 김현균 경기도성남시수정구복정동 상헌빌딩 3층 엠텍비젼 이성민 경기도성남시분당구판교로 255번길 58 6층 601호 오픈링크시스템 성재용 광주광역시서구치평로 112 정연하이빌 402호 우양신소재 윤주영 대구광역시북구유통단지로 8길 유라코퍼레이션 엄병윤 경기도성남시분당구삼평동 유텔 김호동 경기도군포시당정동 ( 주 ) 이노피아테크 장만호 경기도상남시중원구갈마치로 215 A동 405호 주식회사이디 박용후 경기도성남시중원구상대원동 ( 둔촌대로457번길 14) 자람테크놀로지 백준현 경기도성남시분당구야탑동 파인벤처빌딩 2층 , 전자부품연구원 박청원 경기도성남시분당구새나리로 25 ( 야탑동 ) 주식회사제이엔티이엔지 최승훈 경기도성남시중원구사기막골로 148, 701호 ( 상대원동, 중앙이노테크 ) ( 주 ) 제퍼로직 정종척 서울강남구역삼1동 아주빌딩 1801호 ( 주 ) 지에스인스트루먼트 고재목 인천시남구길파로71번길 70 ( 주안동 ) 지엠테스트 고상현 충남천안시서북구직산읍군서1길 19( 군서리 134) 충북테크노파크 남창현 충북청주시청원구오창읍연구단지로 케이던스코리아 ( 유 ) 제임스해댓 경기도성남시분당구판교로 344 엠텍IT타워 9층 (main office)/2층 ( 주 ) 코아리버 배종홍 서울시송파구가락본동 78번지 IT벤처타워서관 11층 콘티넨탈오토모티브시스템선우현 경기도성남시분당구판교역로 220 솔리드스페이스빌딩 클레어픽셀 정헌준 경기도성남시분당구판교로 242 판교디지털센터 A동 301호 키움인베스트먼트 박상조 서울특별시영등포구여의나루로4길 18 키움파이낸스스퀘어빌딩 16층 텔레칩스 이장규 서울특별시송파구올림픽로35다길 42 ( 신천동, 루터빌딩 19층 ~23층 ) ( 주 ) 티에이치엔 채석 대구시달서구갈산동 티엘아이 김달수 경기도성남시중원구양현로 405번길 12 티엘아이빌딩 파워큐브세미 강태영 경기도부천시오정구석천로397( 부천테크노파크쌍용3차 ) 103동 901호 페어차일드코리아반도체 김귀남 경기도부천시원미구도당동 하이브론 이홍섭 인천광역시부평구청천동안남로402번길 25 3층 한국멘토그래픽스 ( 유 ) 양영인 경기도성남시분당구판교역로 192번길 12 ( 삼평동 ) 판교미래에셋센터 7층 한국애질런트테크놀로지스 김승렬 서울강남구역삼로 542, 신사SNG빌딩2층 한국인터넷진흥원 백기승 서울시송파구중대로 135 ( 가락동 ) IT벤처타워 _ The Magazine of the IEIE 74

83 회원명 대표자 주소 전화 홈페이지 한국전기연구원 박경엽 경상남도창원시성산구불모산로10번길 12 ( 성주동 ) 한국전자통신연구원 이상훈 대전광역시유성구가정로 한국정보통신기술협회 임차식 경기도성남시분당구분당로 한라비스테온공조 박용환 대전시대덕구신일동 한백전자 진수춘 대전광역시유성구대학로 76번안길 한화탈레스 장시권 서울시중구청계천로 86 ( 장교동 ) 한화비딩 (19,20층) 핸즈온테크놀러지 강현웅 서울특별시강서구양천로 583, 에이동 호 ( 염창동, 우림블루나인 ) 현대로템 김승탁 경기도의왕시철도박물관로 현대모비스 정명철 서울시강남구역삼1동 서울인터내셔널타워 현대엠엔소프트 차인규 서울시용산구원효로74 현대차사옥 9층 현대오트론 김재범 경기도성남시분당구판교로 344 엠텍 IT 타워 현대자동차그룹 양웅철 경기도화성시장덕동 현대케피코 박정국 경기도군포시고산로 휴먼칩스 손민희 서울시송파구가락본동 10 신도빌딩 휴인스 송태훈 경기도성남시분당구대왕판교로 670 비 히로세코리아 이상엽 경기시흥시정왕동희망공원로 전자공학회지 _ 855

84 The Magazine of the IEIE 단체회원명단 회원명 주소 전화 홈페이지 가톨릭대중앙도서관 경기부천시원미구역곡2동산 가톨릭상지대학도서관 경북안동시율세동 강릉대도서관 강원강릉시지변동산1 강원관광대도서관 강원태백시황지동 강원대도서관 강원춘천시효자2동 경동대도서관 강원고성군토성면봉포리산 경주대도서관 경북경주시효현동산 건국대도서관 서울성동구모진동 건양대중앙도서관 충남논산시내동산 경기대중앙도서관 경기수원시팔달구이의동산 경기공업대도서관 경기시흥시정왕동시화공단3가 경남대중앙도서관 경남마산시월영동 경도대도서관 경북예천군예천읍청복리 경북대도서관 대구북구산격동 경북대전자공학과 대구북구산격동 경운대벽강중앙도서관 경북구미시산동면인덕리 경일대도서관 경북경산군하양읍부호리 경산대도서관 경북경산시점촌동산75 경상대도서관 경남진주시가좌동 경성대도서관 부산남구대연동 경희대학교 중앙도서관경기용인시기흥구서천동1번지 고려대과학도서관 서울성북구안암동5가1번지 고려대서창캠퍼스도서관 충남연기군조치원읍서창동208 고속도로정보통신공단 경기용인기흥읍공세리 공군사관학교도서관 충북청원군남일면쌍수리사서함 공군전투발전단무기체계실 충남논산군두마면부남리사서함 호 , 5281 공주대도서관 충남공주시신관동 광명하안도서관 경기광명시하안2동 광운대도서관 서울노원구월계동 ~2 국민대성곡도서관 서울성북구정릉동 김포대학도서관 경기김포시월곶면포내리산 국방대학교도서관 서울은평구수색동 국방제9125부대 서울중앙우체국사서함932호 국방품질관리연구소정보관리실 서울청량리우체국사서함 276호 국방과학연구소서울자료실 서울송파구송파우체국사서함132호 방위사업청 서울용산구용산2가동7번지 극동대학교도서관 충북음성군감곡면왕장리산 금강대학교도서관 충남논산시상월면대명리 LG정밀 ( 주 ) 제2공장자료실 경기오산시가수동 (318) LG정보통신 ( 주 ) 자료실 경북구미시공단동 금오공대도서관 경북구미시신평동 ~4 남서울대도서관 충남천안시성환읍매주리 단국대도서관 경기도용인시수지구죽전로 _ The Magazine of the IEIE 76

85 회원명 주소 전화 홈페이지 단국대율곡기념도서관 충남천안시동남구단대로 119 ( 안서동산29) 대구대도서관 대구남구대명동 ~6 대원공과대학도서관 충북제천시신월동산 동서울대학도서관 경기성남시수정구복정동 대전대도서관 대전동구용운동 대전한밭대도서관 대전동구삼성2동 대전한밭도서관 대전중구문화동 대진대중앙도서관 경기포천군포천읍선단리산 ~5 대천대도서관 충남보령시주포면관산리산 동강대도서관 광주시북구두암동 동국대도서관 서울중구필동3가 동서대도서관 부산사상구주례동산 동아대도서관 부산서구동대신동3가 동양대도서관 경북영주시풍기읍교촌동1번지 동양공업전문대학도서관 서울구로구고척동 동원대학술정보센터 경기광주군실촌면신촌리산 (140) 두원공과대학도서관 경기안성군죽산면장원리678 만도기계중앙연구소 경기남양주군와부읍덕소리 목원대도서관 대전중구목동 ~50 목포대도서관 전남무안군청계면도림리61 목포해양대도서관 전남목포시죽교동 배재대도서관 대전서구도마2동 부경대도서관 부산남구대연3동 부산대도서관 부산금정구장전동산 부산외국어대도서관 부산남구우암동산 부천대도서관 경기부천시원미구심곡동 한국과학기술정보연구원정보자료실 서울동대문구청량리동 삼지전자 ( 주 ) 서울금천구가산동 삼척산업대도서관 강원삼척시교동산 상명대학교컴퓨터시스템공학전공 충남천안시안서동산 상주대도서관 경북상주시가장동 상지대중앙도서관 강원원주시우산동산 생산기술연구원정보자료실 서울금천구가산동 ~3 산업기술시험평가연구소자료실 서울구로구구로동 삼성SDI 경기용인시기흥구공세동 서강대도서관 서울마포구신수동 서경대도서관 서울성북구정릉동 서울대도서관 서울관악구신림동산 서울대전기공학부해동학술정보실 서울관악구신림동산 서울산업대도서관 서울도봉구공릉동 서울시립대도서관 서울동대문구전농동 서울여자대도서관 서울노원구공릉2동 서울통신기술 ( 주 ) 통신연구소 서울강동구성내3동 선문대도서관 충남아산시탕정면갈산리 성결대도서관 경기안양시안양8동 성균관대과학도서관 경기수원시장안구천천동 성남산업진흥재단 ( 재 ) 경기성남시수정구수진1동 성신여대도서관 서울성북구동선동3가 전자공학회지 _ 857

86 회원명 주소 전화 홈페이지 세종대도서관 서울광진구군자동 수원대중앙도서관 경기화성군봉담면와우리산 (378) 수원과학대도서관 경기화성군정남면보통리산 순천대도서관 전남순천시매곡동 숭실대도서관 서울동작구상도1동 안동대도서관 경북안동시송천동 안산1대학 경기도안산시상록구일동 안양대도서관 경기안양시만안구안양5동 안양과학대학도서관 경기안양시만안구안양3동산 ~9 에스씨지코리아 ( 주 ) 서울강남구대치3동942해성B/D17층 에이치텔레콤 ( 주 ) 경기도성남시중원구상대원동 여수대도서관 전남여수시둔덕동산 연세대도서관 서울서대문구신촌동 영남대중앙도서관 경북경산시대동 영동공과대학도서관 충북영동군영동읍설계리산 ~2 오산전문대학도서관 경기오산시청학동 ( 주 ) 오피콤 서울강남구수서동724( 로스데일B/D5층 ) 충북과학대학도서관 충북옥천군옥천읍금구리 용인대도서관 경기용인시삼가동 우리기술투자 ( 주 ) 서울강남구대치동946-14( 동원B/D14층 ) 우송대중앙도서관 대전동구자양동산 ~9 울산대중앙도서관 울산광역시남구무거동산 원광대중앙도서관 전북이리시신룡동 ( 주 ) 원이앤씨 성남구중원구상대원동 위덕대학교도서관 경북경주시강동면유금리산 유한대학도서관 경기부천시소사구괴안동 육군제1266부대연구개발처자료실 부산남구대연동우체국사서함1-19 육군사관학교도서관 서울노원구공릉동사서함77호 육군종합군수학교도서관 대전유성구추목동사서함 익산대학도서관 전북익산시마동 이화여대중앙도서관 서울서대문구대현동 인제대도서관 경남김해시어방동607번지 인천대도서관 인천남구도화동 ~5 인천전문대도서관 인천남구도화동235 ( 주 ) 인텍웨이브 서울구로구구로3동197-17( 에이스테크노타워501) 인하대도서관 인천남구용현동 인하공전도서관 인천남구용현동 전남과학대학도서관 전남곡성군옥과면옥과리산 전남대도서관 광주북구용봉동 호원대도서관 전북군산시임피면월하리 전주대중앙도서관 전북전주시완산구효자동3가 우석대학도서관 전북완주군삼례읍후정리 (206) 제주대도서관 제주제주시아라1동 중부대도서관 충남금산군추부면마전리산 중앙대도서관 서울동작구흑석동 중앙대안성도서관 경기안성군대석면내리 창원대학도서관 경남창원시퇴촌동 창원시립도서관 창원시반송동산 ~ _ The Magazine of the IEIE 78

87 회원명 주소 전화 홈페이지 청양대도서관 충남청양군청양읍벽천리90 청주대도서관 충북청주시상당구내덕동 천안대도서관 충남천안시안서동산 천안공업대자료실 충남천안시부래동275 한국철도대학도서관 경기의왕시월암동산 초당대도서관 전남무안군무안읍성남리 ~3 충북대도서관 충북청주시개신동산 ~9 충주대도서관 충북중원군이류면검단리 ~5 탐라대도서관 제주서귀포시하원동산 특허청심사4국전자심사담당관실 대전서구둔산동 포항공과대학도서관 경북포항시포항우체국사서함125호 한경대도서관 경기안성시석정동 하남시립도서관 경기하남시신장동 한국정보통신기능대학원 경기광주시역동 한국과학기술원과학도서관 대전유성구구성동 한국과학기술연구원도서관 서울성북구하월곡동 (2418) 한국기술교육대학도서관 충남천안군병천면가전리산 ~4 한국방송통신대학도서관 서울종로구동숭동 한국산업기술대도서관 경기시흥시정왕동사화공단3가 한국산업기술평가원 서울강남역삼동701-7( 한국기술센타11층 ) 한국외국어대용인캠퍼스도서관 경기용인군왕산리산 한국전력기술 ( 주 ) 경기도용인시구성읍마북리 한전전력연구원기술정보센터 대전유성구문지동 한국전자통신연구원도서실 대전유성구가정동 한국조폐공사기술연구소기술정보실 대전유성구가정동 (592) 한국철도기술연구원자료실 경기의왕시월암동 한국항공대도서관 경기고양시화전동 한국항공우주연구소기술정보실 대전유성구어은동 한국해양대도서관 부산영도구동삼동 한동대도서관 경북포항시북구홍해읍남송리3 한세대도서관 경기군포시당정동 한양대도서관 서울성동구행당동 한양대안산도서관 경기안산시대학동 해군제9135부대군수발전부표준규격과 경남진해시현동사서함2호 해군사관학교도서관 경남진해시앵곡동사서함1-1 해군정비창기술연구소 경남진해시현동사서함602-3호 현대자동차기술관리부 정보자료실경남울산시중구양정동700 SK 하이닉스메모리연구소정보자료실 경기이천군부발읍아미리산 협성대학술정보관 경기화성군봉담읍상리 혜전대도서관 충남홍성군홍성읍남장리산 한라대학 강원원주시흥업면흥업리산 한서대도서관 충남서산군해미면대곡리 호남대도서관 광주광산구서봉동 호서대도서관 충남아산군배방면세출리산 ~7 홍익대도서관 서울마포구상수동 (409) 홍익대문정도서관 충남연기군조치원읍신안동 대구효성가톨릭대도서관 경북경산시하양읍금락1리 전자공학회지 _ 859

88 박사학위논문초록게재안내 본학회에서는전자공학회지에국내외에서박사학위를취득한회원의학위논문초록을게재하고있으니해당회원여러분의적극적인참여를바랍니다.( 단, 박사학위취득후 1년이내에제출해주시는것에한함.) 성명 ( 국문 ) ( 한문 ) ( 영문 ) 학위취득현근무처 ( 또는연락처 ) 학위논문제목 학교명대학교학과생년월일년월일취득년월년월지도교수주소 ( 우편번호 : ) 전화번호 FAX번호국문영문 KEY WORD 국문초록 ( 요약 ) : 1000자이내보내실곳 _ 서울특별시강남구테헤란로 7길 22( 역삼동, 과학기술회관신관 907호 ) 사무국회지담당자앞 edit@theieie.org TEL : (02) ( 내선 3) FAX : (02) 이학술지는정부재원으로한국과학기술단체총연합회의지원을받아출판되었음. 전자공학회지 < 월간 > 제 43 권제 10 호 ( 통권제 389 호 ) The Magazine of the IEIE 2016년 10월 20일인쇄 발행및 ( 사 ) 대한전자공학회 회장구용서 2016년 10월 25일발행 편집인인쇄인 한림원 ( 주 ) 대표김흥중 발행인 사단법인대한전자공학회 ( 우 )06130 서울강남구테헤란로 7길 22( 역삼동, 과학기술회관신관 907호 ) TEL.(02) ~7 FAX.(02) ieie@theieie.org Homepage : 씨티은행 지로번호

89

90

전자공학회지 2014.2월호 시안최종2:레이아웃 1 14. 02. 20 오?? 5:18 페이지 1 ISSN 1016-9288 제41권 2호 2014년 2월호 The Magazine of the IEIE 제 4 1 권 제 2 호 ( 2 0 1 4 년 2 월 ) 융 합 영 상 진 단 vol.41. no.2 융합영상진단 및 영상유도 치료 기술 동향 융합영상진단 및

More information

전자공학회지 2014.1월호 시안최종:레이아웃 1 14. 01. 21 오?? 3:24 페이지 1 ISSN 1016-9288 제41권 1호 2014년 1월호 The Magazine of the IEIE 제 4 1 권 vol.41. no.1 제 1 호 ( 2 0 1 4 년 1 월 ) 자 동 차 자동차 전자 자율주행 자동차 개발현황 및 시사점 차량/운전자 협력 자율주행

More information

098-103감사인사

098-103감사인사 감사인 소식 ❶ 감 사 원 2016년 고위감사공무원 등 임명장 수여 승 김주성, 한상준, 고현정, 김창우, 이태훈, 신영은, 진 2015. 11. 1. 한민주, 이덕영, 김호준, 이철재, 함유진, 정진화, 부감사관 김현태, 한승목, 윤일기, 정용현 유희연, 이은정 2016. 1. 18. 일반직고위감사공무원 IT감사단장 이남구 방송통신주사 이유리 일반직고위감사공무원

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 6호 2016년 6월호 제 4 3 권 제 6 호 The Magazine of the IEIE vol.43. no.6 ( ) 2 0 1 6 년 6 월 스 마 트 공 장 동 향 및 이 슈 스마트 공장 동향 및 이슈 스마트공장 기술 동향 및 R&D로드맵 스마트 공장을 위한 사물인터넷 기술 동향 스마트공장 표준화 동향과 시스템 구조

More information

1111

1111 4 분당판 20권 21호 2014년 5월 25일 생명순활동상활 생명순활동상황 생명순 보고는 토요일 오전까지 마쳐주십시오. 보고자 : 김연호 목사 010-9251-5245 보고 : 각 교구 조장님께서 교구 사역자에게 보고해 주세요. 분당판 20권 21호 2014년 5월 25일 생명순활동상황 전도실적은 전도 한 분이 소속한 교구의 생명순에 전도한 인원수를 추가합니다.

More information

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다.

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-9 호 소속 : 동신대학교 성명 : 유근창 귀하를본학회총무이사로위촉합니다. 제 2015-10 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-11 호 소속 : 대전보건대학교 성명 : 백승선 귀하를본학회사업이사로위촉합니다. 제 2015-12 호 소속 : 부산과학기술대학교 성명 : 신장철 귀하를본학회법제이사로위촉합니다.

More information

ÈûÂù100102

ÈûÂù100102 www.himchanhospital.com 2010 01+02 www.himchanhospital.com Contents Himchan People 02 04 07 08 10 12 14 15 16 17 18 Himchan network 19 20 22 Special Theme 04 + 05 06 + 07 } 08 + 09 I n t e r v i e w 10

More information

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 (

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 2016 학년도대학별논술고사일정 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 일 ) 경북대 11 월 21 일 ( 토 ) 경희대 [ 자연 1, 인문, 예체능 ] 11 월 14(

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도 ISSN 1016-9288 제44권 1호 2017 년 1 월호 The Magazine of the IEIE vol.44. no.1 반도체산업의두번째도약 지능형 SoC 와그응용 나노공정시대의아날로그반도체기술 융합기술시대에서반도체의역할 Fabless 산업과반도체산업의도약 반도체설계교육센터 (IDEC) 와반도체산업 www.theieie.org 2017년도 임원 및

More information

0904-6부 tta부록

0904-6부 tta부록 1988 6부 Appendix 부록 2008 우리 경제가 이처럼 비약적인 성장을 거듭할 수 있었던 중요한 요소 중, 하나를 꼽으라고 한다면 단연 정보통신기술의 발전을 빼놓을 수 없을 것이다. 현재의 대한민국은 세계 IT시장의 변화를 이끌어가는 명실상부한 경쟁력을 자랑하고 있다. 연표 정보통신표준 제 개정 현황 임원 부서장 표준 제 개정 및 폐지 절차 이사회

More information

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인 2009 년제 56 차교원교육학술대회 교원성과상여금제도의 진단및개선방향 일시 : 2009 년 12 월 4 일 [ 금 ] 13:00-17:10 장소 : 서울교육대학교전산관 1 층 후원 : 교육과학기술부 한국교원교육학회 학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대

More information

08학술프로그램

08학술프로그램 www.kafle.or.kr Foreign Language Teachers Expertise 01 01 02 03 04 05 06 07 한국외국어교육학회 2008년 겨울학술대회 학술대회 관련 문의 좌장: 이강국 (대학원 309호) 13:30~14:00 명사구 내 형용사의 위치와 의미 유은정 이상현 곽재용 14:00~14:30 스페인어 문자체계의 발달과정 연구

More information

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연 이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 2017. 6. 22 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연구위원송대성박사前 ) 세종연구소소장방효복예 ) 중장前 ) 국방대학교총장남성욱박사고려대행정전문대학원장이원우박사前

More information

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크 Individual BC1 Pool A Standing 1 101 유원종 여수동백원 3 1 1 8 8 0 2 114 이유진 모자이크 3 1 1 4 2 0.666666667 3 115 박상호 경남부치소 3 1 1 5 6-0.333333333 4 128 권오찬 충남보치아연맹 3 1 1 5 6-0.333333333 Individual BC1 Pool A Game

More information

필수연구자료들의가치를높여줄최상의플랫폼을소개합니다. 검색, 문제해결, 의사결정지원분석기능까지모두갖춘만능솔루션 Authorized Dealer in Korea http://www.kitis.co.kr TEL. 02-3474-5290( 代 ) Contents 제 43 권 8 호 (2016 년 8 월 ) 대한전자공학회 70 년사 12 대한민국광복 71 년, 대한전자공학회

More information

ISSN 1016-9288 제44권 5호 2017 년 5 월호 The Magazine of the IEIE vol.44. no.5 IoT 보안기술의동향및위협 IoT 보안이슈및국내외보안기술개발동향 IoT 융합보안의동향분석및보안강화방안 IoT 용보안기능내장칩과현황소개 IoT 및센서기반자동채점운전면허시험개선프로그램개발과현장적용방안 산업용사물인터넷 (IIoT) 시장전망과기술동향

More information

수의대소식지5호(10)

수의대소식지5호(10) College of Veterinary Medicine, Seoul National University Newsletter September 2014 No. 5 Tel. 02-880-1231 http://vet.snu.ac.kr CONTENTS 1 7 17 2 9 3 10 No. 5, 2014. 9 2 College of Veterinary Medicine,

More information

ISSN 1016-9288 제45권 6호 2018 년 6 월호 The Magazine of the IEIE vol.45. no.6 4 차산업혁명과스마트제조기술및서비스동향 스마트공장표준화필요성및국내 외표준화동향 Industry 4.0을위한가변재구성제조시스템 (RMS4.0) 스마트공장정책및산업동향 스마트팩토리공급산업육성을위한테스트베드구축사례연구 스마트팩토리를위한가변재구성유연생산기술

More information

감사회보 5월

감사회보 5월 contents 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 동정 및 안내 상장회사감사회 제173차 조찬강연 개최 상장회사감사회 제174차 조찬강연 개최 및 참가 안내 100년 기업을 위한 기업조직의 역 량과 경영리더의 역할의 중요성 등 장수기업의 변화경영을 오랫동안 연구해 온 윤정구 이화여자대학교

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 4호 2016 년 4 월호 The Magazine of the IEIE vol.43. no.4 5G 이동통신동향 : 서비스, 표준화, 유망기술 5G 서비스에서의패러다임시프트 5G 이동통신표준화및주파수동향 Effective Self-interference Cancellation for In-band Full-duplex Massive

More information

01....-1

01....-1 ISSN 1016-9288 제41권 7호 2014년 7월호 제 4 1 권 제 7 호 ( ) 2 0 1 4 년 7 월 E M P 영 향 과 필 터 개 발 동 향 The Magazine of the IEIE EMP 영향과 필터 개발동향 vol.41. no.7 전자부품에 미치는 고에너지 전자기파의 영향 전도성 전자파 해석 연구 동향 분석 HEMP 필터 개발 동향

More information

RR hwp

RR hwp 국가 e- 러닝품질관리센터운영을위한 e- 러닝품질관리가이드라인 연구책임자 연구협력관 도움을주신분들 김선태 ( 한국직업능력개발원 ) 김재현 ( 부산교육연구정보원 ) 김태민 ( 인천교육과학연구원 ) 김홍래 ( 춘천교육대학교 ) 문수영 ( 크레듀 ) 박상섭 ( 경남교육과학연구원 ) 박치동 ( 서울시교육청 ) 서유경 ( 한국교육과정평가원 ) 서준호 ( 한국교원연수원

More information

전자공학회지.11월호_레이아웃 1 16. 11. 22 오전 10:47 페이지 2 ISSN 1016-9288 제43권 11호 2016년 11월호 The Magazine of the IEIE 제 4 3 권 제 11 호 vol.43. no.11 ( 2 0 1 6 년 11 월 머신 러닝 기반 머신 비젼 최신 기술 동향 딥러닝 기법을 이용한 머신 비젼 기술 최근 응용

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납 ISSN 1016-9288 제44권 12호 2017 년 12 월호 The Magazine of the IEIE vol.44. no.12 고령친화산업기술개발동향 고령친화산업시장현황및전망 사례중심의고령친화제품기술동향 고령사회시대중재적간호를위한지능형욕창방지매트리스 고령자의보행을위한고령친화용품의개발 고령친화형가정식대체식품동향 www.theieie.org 2018년도

More information

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사 ISSN 1016-9288 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사곱셈기설계 저전력디지털신호처리가속기설계기술 CORDIC 을이용한저면적, 저전력신호처리 VLSI

More information

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위 ISSN 1016-9288 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위한 LEGO Mindstorms EV3 연구 www.theieie.org Together,

More information

04 특집

04 특집 특집 도서관문화 Vol.51 NO.5(2010.5) 시작하는 말 18 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크란? 19 도서관문화 Vol.51 NO.5(2010.5) 20 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크, 환경에 따라 변모하다 21 도서관문화 Vol.51 NO.5(2010.5) 소셜 네트워크와 도서관을 결합시키다

More information

41-5....

41-5.... ISSN 1016-9288 제41권 5호 2014년 5월호 제 4 1 권 제 5 호 ( ) 2 0 1 4 년 5 월 SSD (Solid State Drive) The Magazine of the IEIE vol.41. no.5 SSD (Solid State Drive) SSD (Solid State Drive)를 이루는 기술과 미래 SSD의 등장에 따른 OS의

More information

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 12호 2018 년 12 월호 The Magazine of the IEIE vol.45. no.12 스마트웨어러블기기에대한기술동향및전망 스마트웨어러블기기의 IEC 국제표준화동향 스마트웨어러블기기를위한전기변색기술동향 스마트의류시장현황및기술이슈 E-textiles 표준화동향 스마트웨어러블기기를위한형태가변형디스플레이기술동향및전망

More information

1842È£-º»¹®

1842È£-º»¹® 제1842호 2007. 4. 23 사랑받은 50년! 정성으로 보답하겠습니다 가톨릭중앙의료원 개원기념식 거행 (의료원/성모/강남성모/의정부성모병원) 가톨릭중앙의료원 개원기념식 2007년 5월 3일 (목) 10:30 ~, 가톨릭의과학연구원 2층 대강당 가톨릭의대 성모병원 개원71주년 기념식 2007년 5월 3일(목) 7:50~, 성모병원(여의도) 본관 4층 강당

More information

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf 2015 학년도 논술 가이드북 K Y U N G H E E U N I V E R S I T Y 2015 학년도수시모집논술우수자전형 1. 전형일정 논술고사 구분 일정 원서접수 2014. 9. 11( 목 ) 10:00 ~ 15( 월 ) 17:00 고사장확인 2014. 11. 12( 수 ) 전형일 2014. 11. 15( 토 ) ~ 16( 일 ) 합격자발표 2014.

More information

ISSN 1016-9288 제44권 10호 2017 년 10 월호 The Magazine of the IEIE vol.44. no.10 미래유망기술표준및시험인증동향 유망시험인증서비스전략로드맵 대용량에너지저장장치안전성시험 국내주요정보보호의무제도동향 가상현실표준화현황사용자이용을중심으로 항공분야극한전자기환경극복기술시험평가기술동향 www.theieie.org Contents

More information

41-4....

41-4.... ISSN 1016-9288 제41권 4호 2014년 4월호 제 4 1 권 제 4 호 ( ) 2 0 1 4 년 4 월 차 세 대 컴 퓨 팅 보 안 기 술 The Magazine of the IEIE 차세대 컴퓨팅 보안기술 vol.41. no.4 새롭게 진화하는 위협의 패러다임 - 지능형 지속 위협(APT) 인터넷을 통해 유포되는 악성 프로그램 대응전략 차세대

More information

°ÇÃà8¿ùÈ£Ãâ·Â

°ÇÃà8¿ùÈ£Ãâ·Â 2010 FIFA WORLD CUP SOUTH AFRICA 2 I I 3 4 I I 5 6 I I 7 8 I I 9 10 I NEWS 2010년 7월 10일(토) 지난 6월 21일 발표된 '제23회 광주광 역시 미술대전' 심사결과 건축 부문의 44점 출품 작품 중, 대상에 김아름, 김 지인, 한강우 팀이 출품한 The line : Time passage 이

More information

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 ISSN 1016-9288 제45권 1호 2018 년 1 월호 The Magazine of the IEIE vol.45. no.1 AI( 인공지능 ) 반도체 머신러닝가속기연구동향 효율적메모리관리를통한모바일 CNN 가속기의최적화 에너지고효율인공지능하드웨어 인공지능하드웨어설계및최적화기술 뉴로모픽컴퓨팅기술 인공지능반도체 NM500 www.theieie.org 2018년도

More information

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도 제 4 2 권 제 1 호 ( ) 2 0 1 5 년 1 월 도체소ISSN 1016-9288 제42권 1호 The Magazine of the IEIE 반도체소자제조기술동향 GaN(Gallium Nitride) 기반전력소자제작기술개발현황 고출력 LED 조명개발및기술개발동향 OLED 기술개발및공정기술동향과시장전망 CMOS Image Sensor(CIS) 제작기술동향

More information

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E 전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 B PLC (시간) 이기태 A 열전달 김상윤 A 산업디자인 (시간) 최병오 B 전기기기실험 정대성 D 전기기기실험 정대성 B 열전달 김상윤 E 전기기기실험 정대성 C 열전달 김상윤 F 전기기기실험 정대성 D 기계요소설계 이동호 E 기계요소설계 이동호 F 기계요소설계 이동호 A 영어회화

More information

untitled

untitled 외국인환자유치사업활성화및안전성확보방안행사개요 주최 : 보건복지부 주관 : 한국보건산업진흥원 대상 : 유치기관, 지자체, 외국인환자유치사업관심있는모든분 일정 ( 총 3시간 ) 일시장소대상지역 6.13( 수 ) 14:00 17:00 건양대병원암센터 5 층대강당대전 ( 충청 전라 ) 설명회 ( 4 회 ) 6.14( 목 ) 14:00 17:00 부산국제신문사 4 층중강당부산

More information

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o 2019. 05. 18 ::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Team Statistics 2019. 05. 18 15:50 원광대체육관 Referees : Technical officer : MVP : - 원광대학교 조선대학교 22 7 : 12 15 : 12 24 원광대학교 Player Shots Offence

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 11 호 2015 년 11 월호 The Magazine of the IEIE 의료영상과응용방안 vol.42. no.11 레디오믹 (Radiomic) 분석과암의료영상 의료초음파영역 : 해부학적영상그이상 의료용내시경의이해와응용 CT 의원리와임상적용 영상유전학 (Imaging genetics) 을통한질병분석 www.theieie.org

More information

[NO_11] 의과대학 소식지_OK(P)

[NO_11] 의과대학 소식지_OK(P) 진 의학 지식과 매칭이 되어, 인류의 의학지식의 수준을 높 여가는 것이다. 하지만 딥러닝은 블랙박스와 같은 속성을 가지고 있어서, 우리는 단지 결과만을 알 수 있기 때문에 이런 식의 의학지 식의 확장으로 이어지기는 힘들 수 있다는 것을 의미한다. 이것은 실제로 의학에서는 인공지능을 사용하게 될 때 여러 가지 문제를 만들 수 있다. 뿐만 아니라, 인간이 이해

More information

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 1 2 3 4 5 6 7 8 9 9 2010.1 Fashionbiz

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 3호 2018 년 3 월호 The Magazine of the IEIE vol.45. no.3 최신의료진단기술및동향 생체전기물성기반암진단및치료효과모니터링연구 스펙트럼전산화단층촬영의적용및최신동향 흉부디지털단층영상합성시스템소개및최근연구동향 핵의학 PET/CT 의임상적용 헬스케어웨어러블디바이스의기술및시장동향 www.theieie.org

More information

???춍??숏

???춍??숏 Suseong gu Council Daegu Metropolitan City www.suseongcouncil.daegu.kr Contents SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY 10 www.suseongcouncil.daegu.kr 11 SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And 2017 학년도 IT 융합학습동아리 2 차선정자명단 번호 팀명 지도교수 번호 학번 학과 학년 비고 지원금액 ( 원 ) 1 20164047 전기공학과 2 대표학생 2 20164043 전기공학과 2 구성원 1 오합지졸 김기찬 3 20161435 전기공학과 2 구성원 4 20161464 전기공학과 2 구성원 5 20161466 전기공학과 2 구성원 6 20141935

More information

41-9....

41-9.... ISSN 1016-9288 제41권 9호 2014년 9월호 제 4 1 권 제 9 호 ( ) 2 0 1 4 년 9 월 첨 단 전 자 시 스 템 의 산 업 기 술 The Magazine of the IEIE vol.41. no.9 첨단 전자시스템의 산업기술 R&D 전략 최신의료기기 기술 및 산업동향 시스템반도체 현황 및 경쟁력 분석 통합모듈형항공전자(IMA) 기술동향

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 5 호 2015 년 5 월호 The Magazine of the IEIE vol.42. no.5 차세대멀티미디어서비스를위한기술 실감형원격영상회의를위한시선맞춤기술 Computer Vision 연구자가 Deep Learning 의시대를사는법 실감형컨텐츠를위한무선접속기술 랜덤워크를이용한영상멀티미디어데이터의중요도검출연구 사이버물리멀티미디어시스템활용서비스와통신기술분석

More information

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9 대회명 : 장 소 : 경기장 - 동백체육관 일시 : 2017. 4. 7 ~ 4. 9 Event Total Entry Pool Number Advance Number in a pool Point Qualifier KO Position Individual BC1 - - - - - Individual BC2 - - - - - Individual BC3 43 14

More information

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Tae-HoonYoon

More information

ISSN 1016-9288 제45권 10호 2018 년 10 월호 The Magazine of the IEIE vol.45. no.10 가상현실 (VR) 을위한통신기술 무선 VR 서비스를위한통신네트워크시스템고선명영상지원초저지연무선 VR 전송기술무선 VR 플랫폼기술동향과전망무선 VR을위한무선랜표준기술분석가상현실 VR 오디오 VR 콘텐츠어지럼증의유발요인에대한이해와저감방안

More information

ISSN 1016-9288 제44권 7호 2017 년 7 월호 The Magazine of the IEIE vol.44. no.7 최신무선통신기술및표준화동향 차세대이동통신시스템에서의위치측위기술및표준화동향 IMT-2020 5G 서비스용후보대역결정을위한공유연구동향 802.11P 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 RF 무선전력전송기술과관련무선통신연구동향

More information

Untitled-1

Untitled-1 영역별 욕구조사 설문지 예시 자료 3 장애인영역 평택시 사회복지시설 욕구조사 실무도움서 _ 201 202 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 2 3 평택시 사회복지시설 욕구조사 실무도움서 _ 203 204 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 4 평택시 사회복지시설 욕구조사 실무도움서 _ 205 2 3 4 5 6 7

More information

경남도립거창대학 5 개년발전전략 GPS 2020( 안 )

경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 2016. 3 GPS 2020 본과업은대학발전 TASK FORCE 팀에 의하여연구되었습니다. 2016. 3 경남도립거창대학 5 개년발전전략 GPS 2020 Ⅰ 1. 8 2. 8 3. 12 4. 13 5. 14 6. 16 7. 17 Ⅱ 1. 20 2. 21 3. 24 4. 25 Ⅲ 1. 28 2. 29

More information

ISSN 1016-9288 제44권 9호 2017 년 9 월호 The Magazine of the IEIE vol.44. no.9 로봇산업의발달 지능형로봇산업산업동향과정책방향 원격조종로봇의주행을위한 3차원반구가시화시스템 뱀로봇하늘을날다 지능형 AGV 시스템을위한로드맵및원격제어기술개발사례 Make-Fail-Play 청소년들의창의성을깨우는 WRO www.theieie.org

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Sang-IlSeok

More information

ISSN 1016-9288 제45권 5호 2018 년 5 월호 The Magazine of the IEIE vol.45. no.5 수중 IoT(UIoT:Underwater Internet of Things) 수중통신개요 수중기지국기반수중음파통신기술과활용분야 수중음파통신기술과동향 수중무선광통신기술동향 수중극저주파 (Extremely Low Frequency)

More information

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도 전자공학회지 2016.1월호_최종파일_레이아웃 1 16. 01. 25 오후 3:47 페이지 1 ISSN 1016-9288 제43권 1호 2016년 1월호 제 4 3 권 제 1 호 The Magazine of the IEIE vol.43. no.1 ( 2 0 1 6 년 1 월 IoT를 가능하게 하는 반도체 기술 (Semiconductor Technologies

More information

직접비에비례하여간접비가발생하지않는비목의일부를제외하거나 상한을설정하여산정한수정직접비에별표 의해당기관별간접비 비율을곱한금액 별표 의해당기관별간접비비율에 이하의조정비율을적용하여 산정한조정간접비비율을직접비에곱한금액 별표 의해당기관별간접비비율에직접비규모별차별적인조정비율을 적용하

직접비에비례하여간접비가발생하지않는비목의일부를제외하거나 상한을설정하여산정한수정직접비에별표 의해당기관별간접비 비율을곱한금액 별표 의해당기관별간접비비율에 이하의조정비율을적용하여 산정한조정간접비비율을직접비에곱한금액 별표 의해당기관별간접비비율에직접비규모별차별적인조정비율을 적용하 국가연구개발사업기관별간접비계상기준 안 미래창조과학부고시제 호 국가연구개발사업의관리등에관한규정 제 조제 항에따라중앙 행정기관의장이지급하는연구개발비중간접비에대한계상기준을다음과같이고시합니다 년 월 일 미래창조과학부장관 국가연구개발사업기관별간접비계상기준 제 조 목적 이기준은 국가연구개발사업의관리등에관한규정 제 조 제 항에따른간접비중동규정제 조제 항에따라중앙행정기관의

More information

È޴ϵåA4±â¼Û

È޴ϵåA4±â¼Û July 2006 Vol. 01 CONTENTS 02 Special Theme 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. Beautiful Huneed People 03 04 Special Destiny Interesting Story 05 06 Huneed News Huneed

More information

2013 년 Maker's Mark Academy 추석 Program 2013 ~ 2014 년 ACT Test 일정 2013/09/ /10/ /12/ /04/ /6/14 9 월 21 일 ACT 대비추석특강반 ( 이이화 / Jo

2013 년 Maker's Mark Academy 추석 Program 2013 ~ 2014 년 ACT Test 일정 2013/09/ /10/ /12/ /04/ /6/14 9 월 21 일 ACT 대비추석특강반 ( 이이화 / Jo 2013 년 Maker's Mark Academy 추석 Program 2013 ~ 2014 년 ACT Test 일정 2013/09/21 2013/10/26 2013/12/14 2014/04/12 2014/6/14 9 월 21 일 ACT 대비추석특강반 ( 이이화 / John Kang / Chris / Jeanne Choi / 고봉기 ) A 반 / Reading

More information

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference 일 시 2014년 3월 6일 ( 목 ) 14:00-17:20

More information

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원 학번 이름 전공 학년 상담교수 2006312985 곽정헌 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310678 정연우 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310952 이충의 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007311111 강민주 정보통신대학-반도체시스템공학과 (317304) 4

More information

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9 오늘날 자동차와 도로는 ICT 기술과 융합되어 눈부시게 발전하고 있습니다. 자동차는 ICT 기술과 접목되어 스마트 자동차로 변화하며 안전하고 편리하며 CO 2 방출을 줄이는 방향으로 기술개발을 추진하고 있으며 2020년경에는 자율 주행 서비스가 도입될 것으로 전망하고 있습니다. 또한, 도로도 ICT 기술과 접목되어 스마트 도로로 변화하며 안전하고 편리하며 연료

More information

173

173 방송기자저널 한국방송기자클럽 발행인 엄효섭 편집인 김벽수 월간 발행처 2013 8August 1990년 6월 20일 창간 서울시 양천구 목1동 923-5 방송회관 12층 T. 02) 782-0002,1881 F. 02) 761-8283 www.kbjc.net 제173호 Contents 02 방송이슈 현오석 부총리 겸 기획재정부 장관 초청 토론회 한국방송대상

More information

1 제 26 장 사회간접자본의확충

1 제 26 장 사회간접자본의확충 삼성경제연구소 1 제 26 장 사회간접자본의확충 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 제 27 장 대형국책과제의추진 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

ISSN 1016-9288 제45권 9호 2018 년 9 월호 The Magazine of the IEIE vol.45. no.9 4 차산업혁명시대의로봇산업 4차산업혁명과로봇산업 소프트로보틱스와피킹기술 4차산업혁명시대의소셜로봇현황과미래전망 드론용구동모듈의기술동향 상지절단자를위한재활보조장치의국내외제품현황및연구동향 www.theieie.org Contents

More information

ISSN 1016-9288 제44권 11호 2017 년 11 월호 The Magazine of the IEIE vol.44. no.11 Artificial Intelligence, Machine Learning 그리고응용시스템 멀티미디어분석을위한기계학습 딥러닝을이용한객체분류및검출기술 뉴로닉 (NeuronlC) 새로운서비스와메모리아키텍처의변화 고성능 BCI(Brain

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

1362È£ 1¸é

1362È£ 1¸é www.ex-police.or.kr 2 3 4 5 6 7 시도 경우회 소식 2008年 4月 10日 木曜日 제1362호 전국 지역회 총회 일제 개최 전남영광 경우회 경북구미 경우회 서울양천 경우회 경남마산중부 경우회 경북예천 경우회 서울동대문 경우회 충남연기 경우회 충남예산 경우회 충남홍성 경우회 대전둔산 경우회 충북제천 경우회 서울수서 경우회 부산 참전경찰회(부산진)

More information

신성장동력업종및품목분류 ( 안 )

신성장동력업종및품목분류 ( 안 ) 신성장동력업종및품목분류 ( 안 ) 2009. 12. 일러두기 - 2 - 목 차 < 녹색기술산업 > 23 42-3 - 목 차 45 52 < 첨단융합산업 > 66 73 80-4 - 목 차 85 96 115 < 고부가서비스산업 > 120 124 127 129 135-5 - 녹색기술산업 - 6 - 1. 신재생에너지 1-1) 태양전지 1-2) 연료전지 1-3) 해양바이오

More information

<B8D3B8AEB8BB5F20B8F1C2F72E687770>

<B8D3B8AEB8BB5F20B8F1C2F72E687770> 발간사 발간사 KDI 정책연구사례 : 지난 30 년의회고 발간사 KDI 정책연구사례 : 지난 30 년의회고 목차 / 김광석 / 김적교 / 사공일 / 송희연 / 남상우 / 문팔용 / 김윤형 / 사공일ㆍ송대희 / 김수곤 / 김영봉 / 이규억 / 민재성ㆍ박재용 KDI 정책연구사례 : 지난 30 년의회고 / 황인정 / 남상우 / 양수길 / 고일동 / 김준경ㆍ조동철

More information

ISSN 1016-9288 제44권 8호 2017 년 8 월호 The Magazine of the IEIE vol.44. no.8 딥러닝을포함한인간행동인식최신기술동향 비디오기반행동인식연구동향 지능형자동차를위한야간보행자검출기술 의미론적연관성분석을위한영상정합동향 최근표정인식기술동향 무인비행체임베디드조난자탐지시스템 MPEG-I 표준과 360 도비디오콘텐츠생성 컴퓨터비전및영상처리를위한컬러시스템의이해

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 783 789. http://dx.doi.org/10.5515/kjkiees.2015.26.9.783 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) GaN-HEMT

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Nov.; 25(11), 11351141. http://dx.doi.org/10.5515/kjkiees.2014.25.11.1135 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

< C7D0B3E2B5B C0B6C7D520C7D0BDC0B5BFBEC6B8AE2031C2F720BCB1C1A4C0DA20B8EDB4DC2E786C7378>

< C7D0B3E2B5B C0B6C7D520C7D0BDC0B5BFBEC6B8AE2031C2F720BCB1C1A4C0DA20B8EDB4DC2E786C7378> 2018 학년도 IT 융합학습동아리 1 차선정자명단 순번 팀명 지도교수 번호 학번 학과 학년 구분 지원금액 비고 1 20141935 컴퓨터공학과 4 대표학생 1 하드스플로잇 이현빈 2 20131689 컴퓨터공학과 4 구성원 3 20141901 컴퓨터공학과 4 구성원 4 20141928 컴퓨터공학과 3 구성원 5 20121509 전기공학과 4 대표학생 2 취목

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 세미나자료집 전국초 중 고성교육담당교사워크숍 세미나자료 전국초 중 고성교육담당교사워크숍 일시 2007.12.27( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 워크숍일정표 시간주제사회 10:00-10:20 등록 10:20-10:30 개회사축사 : 신인철과장 ( 교육인적자원부폭력대책팀 ) 10:30-11:10 기조강연 : 학교성교육과교사의역할

More information

2015 국민대학교 자체평가보고서

2015 국민대학교 자체평가보고서 2015 국민대학교 자체평가보고서 1 2015 국민대학교 자체평가보고서 국민대학교 개황 대학 현황 우리 대학은 민족 수난기에 조국의 광복운동을 이끌어 온 애국자 해공 신익희 선생을 비롯한 상해 임시정부 요인들이 건국에 필요한 인재를 양성하고자 설립한 해방 후 최초의 사립대학이다. 금년으로 개교 65주년을 맞이한 우리 대학교는 해공 선생의 건학이념과 성곡 선생의

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 2호 2018 년 2 월호 The Magazine of the IEIE vol.45. no.2 차세대동영상압축기술 JVET 차세대비디오부호화표준화동향 차세대비디오부호화의블록분할구조 차세대비디오부호화를위한 JVET 인트라예측기술 FVC 기술소개 - JVET Inter Prediction MPEG-FVC 의딥러닝응용비디오압축기술

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 27(1), ISSN

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 27(1), ISSN THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 216 Jan.; 27(1), 17. http://dx.doi.org/1.5515/kjkiees.216.27.1.1 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Switch 1.5 GHz

More information

0-학기기말고사시간표 (/) A 강민수 A0 공업수학 강민수 A 임창수 A0 PLC기초및실습 임창수 SW전공 B 정호성 I0 JavaScript실습 (시간) 정호성 A 김휘동 A0 사출금형 김휘동 B 김원욱 A0 공업수학 강민수 B 김동근 A0 PLC기초및실습 임창수

0-학기기말고사시간표 (/) A 강민수 A0 공업수학 강민수 A 임창수 A0 PLC기초및실습 임창수 SW전공 B 정호성 I0 JavaScript실습 (시간) 정호성 A 김휘동 A0 사출금형 김휘동 B 김원욱 A0 공업수학 강민수 B 김동근 A0 PLC기초및실습 임창수 0-학기기말고사시간표 (/) A 노기준 A0 의사소통능력 노기준 A 하종근 A0 설계제도응용 김종겸 A 최병오 S0 산업디자인기초 (시간) 최병오 SW전공 A 강돈형 I0 대인관계와직업윤리 강돈형 A 정대성 A0 전기기기실험 정대성 A 김종겸 A0 기계제도 김종겸 B 김성진 A0 의사소통능력 노기준 B 이상규 A0 설계제도응용 김종겸 EV전공 B 홍종탁 A0

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

untitled

untitled 13-28 Korea Building Expo in China 2009 www.kobuild.com NO.1 www.duckshin.com The Korea Land Daily 14-15 16 17 19 22 23 24 25 27 I CMYK 20 전 2009년 7월 16일 목요일 면 광 21 고 2009 대한민국 건설환경기술상 국토해양부

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 (

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 ( 제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 02.003 생활용품검사 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 2017-032 호 (2017.2.8.)) 03. 재료및부품 03.001 자동차부품검사 기능안전심사 ISO 26262-2 : 2011

More information

ISSN 1016-9288 제45권 4호 2018 년 4 월호 The Magazine of the IEIE vol.45. no.4 양자통신과양자컴퓨터기술 양자기술을향한양자물리학의기초개념 양자암호통신개요및기술동향 양자컴퓨터의소개및전망 결함허용양자컴퓨팅연구개발동향 양자정보이론과결함허용양자컴퓨팅 양자알고리즘개발을위한머신러닝기법 www.theieie.org Contents

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

¿øÀü¼¼»ó-20È£c03ÖÁ¾š

¿øÀü¼¼»ó-20È£c03ÖÁ¾š 아름다운 사람들의 소중한 이야기- 원전세상 원전세상은 원전가족과 함께하는 건강 환경 종합지입니다. 성공노하우 대리점 탐방 원전가족한마당 또 하나의 가족 스파젠 제품리뷰 활성산소 2005 년 총권 20호 www.onejon.co.kr Contents 05 11 26 34 4 onejon world 2005 5 6 onejon world 2005 7 8 onejon

More information

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9 대회명 : 장 소 : 경기장 - 동백체육관 일시 : 2017. 4. 7 ~ 4. 9 Event Total Entry Pool Number Advance Number in a pool Point Qualifier KO Position Individual BC1 - - - - - Individual BC2 - - - - - Individual BC3 43 14

More information

2010교육프로그램_08-0000

2010교육프로그램_08-0000 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 Contents 나노융합실용화센터 대구기계부품연구원 경북대학교 계명대학교 영진전문대학 영남이공대학 2 4 6 8 18 30 32 36 38 42 3 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 메카트로닉스 및 나노융합 기업지원서비스사업 개요 비 전 교육내용 현장생산인력 및 연구인력의

More information

그린홈이용실태및만족도조사

그린홈이용실태및만족도조사 2009 년도연구용역보고서 그린홈이용실태및 만족도설문조사 - 2009. 11. - 이연구는국회예산정책처의연구용역사업으로수행된것으로서, 보고서의내용은연구용역사업을수행한연구자의개인의견이며, 국회예산정책처의공식견해가아님을알려드립니다. 책임연구원 이화여자대학교소비자학과교수정순희 그린홈이용실태및만족도 설문조사 2009. 11. 책임연구원 정순희 ( 이화여자대학교소비자학과교수

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

오토 2, 3월호 내지최종

오토 2, 3월호 내지최종 Industry Insight 인사이드 블루투스 자동차와 블루투스의 공존법칙 운전 중 휴대전화 사용을 금지하는 법률이 세계적으로 확산되고 있으며, 블루투스(Bluetooth) 기반의 핸즈프리 기능을 이용하는 것이 이에 대한 확실한 대안으로 자리잡았다. 그러나 차기 무선 멀티미디어 스트리밍에 관해서는 어떤 일이 일어날 지 아무도 알 수 없다. 글 윤 범 진 기자

More information

<C0FCB9AEB1E2BCFA20BFDCB1B9C0CEB7C220B3EBB5BFBDC3C0E520BAD0BCAE2E687770>

<C0FCB9AEB1E2BCFA20BFDCB1B9C0CEB7C220B3EBB5BFBDC3C0E520BAD0BCAE2E687770> 전문기술외국인력노동시장분석 요약 i ii 전문기술외국인력노동시장분석 요약 iii iv 전문기술외국인력노동시장분석 요약 v vi 전문기술외국인력노동시장분석 요약 vii viii 전문기술외국인력노동시장분석 요약 ix x 전문기술외국인력노동시장분석 요약 xi xii 전문기술외국인력노동시장분석 요약 xiii xiv 전문기술외국인력노동시장분석 제 1 장서론

More information

ISSN 1016-9288 제45권 8호 2018 년 8 월호 The Magazine of the IEIE vol.45. no.8 AR/VR 을위한비전기술및 Deep Learning 최신동향 딥러닝을이용한영상기반 3차원얼굴복원기법의기술동향 시선추적기술의소개 360 도영상을이용한 AR/VR 콘텐츠생성 저용량프로세서를위한딥러닝레이어압축기법과응용 의미적분할을위한약지도기계학습

More information