Size: px
Start display at page:

Download ""

Transcription

1 ISSN 제45권 7호 2018 년 7 월호 The Magazine of the IEIE vol.45. no.7 Neuromorphic 시스템설계기술 뉴로모픽시스템의설계기술과전망 멤리스터소자를활용한뉴로모픽동향연구 확률컴퓨팅기반딥뉴럴넷 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 엣지디바이스를위한뉴로모픽비동기프로세서의설계

2

3

4

5

6

7 Contents 제 45 권 7 호 (2018 년 7 월 ) 학회소식 12 학회소식 / 편집부 18 학회일지 특집 : Neuromorphic 시스템설계기술 19 특집편집기 / 김영민 20 뉴로모픽시스템의설계기술과전망 / 김현성, 당호영, 박종선 32 멤리스터소자를활용한뉴로모픽동향연구 / 유호영, 김영민 38 확률컴퓨팅기반딥뉴럴넷 / 이종은 학회지 7 월호표지 (vol 45. No 7) 회지편집위원회 위원장정영모 ( 한성대학교교수 ) 위원권종원 ( 한국산업기술시험원선임연구원 ) 김수찬 ( 한경대학교교수 ) 김영선 ( 대림대학교교수 ) 김창수 ( 고려대학교교수 ) 김현 ( 부천대학교교수 ) 박수현 ( 국민대학교교수 ) 박인규 ( 인하대학교교수 ) 박종선 ( 고려대학교교수 ) 변영재 (UNIST 교수 ) 심정연 ( 강남대학교교수 ) 윤지훈 ( 서울과학기술대학교교수 ) 이종호 ( 가천대학교교수 ) 이찬수 ( 영남대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정찬호 ( 한밭대학교교수 ) 사무국편집담당변은정차장 ( 내선 3) TEL : (02) ( 대 ) FAX : (02) 학회홈페이지 46 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 / 김재준, 김형준, 김태수, 김율화, 김진석 54 엣지디바이스를위한뉴로모픽비동기프로세서의설계 / 이진경, 김경기 회원광장 66 논문지논문목차 정보교차로 68 국내외학술행사안내 / 편집부 80 특별회원사및후원사명단 83 단체회원명단

8 The Magazine of the IEIE 2018 년도임원및각위원회위원 회 장 백준기 ( 중앙대학교교수 ) 수석부회장최천원 ( 단국대학교교수 ) - 소사이어티, 재무, 기획 고 문 구원모 ( 전자신문사대표이사 ) 김기남 ( 삼성전자 사장 ) 박성욱 (SK하이닉스 부회장 ) 박청원 ( 전자부품연구원원장 ) 백만기 ( 김 & 장법률사무소변리사 ) 이상훈 ( 한국전자통신연구원원장 ) 이재욱 ( 노키아티엠씨명예회장 ) 이희국 ( LG 상임고문 ) 천경준 ( 씨젠회장 ) 감 사 정교일 ( 한국전자통신연구원책임연구원 ) 백흥기 ( 전북대학교교수 ) 부 회 장 서승우 ( 서울대학교교수 ) - JSTS, 논문지, 정보화, 홍보, 교육임혜숙 ( 이화여자대학교교수 ) - 학술대회, SPC 전병우 ( 성균관대학교교수 ) - 사업, 학회지, 회원, 표준화 이장명 ( 부산대학교교수 ) - 지부 안승권 (LG사이언스파크/LG기술협의회대표 / 의장 ) 산업체부회장 오성목 (KT 사장 ) 이석희 (SK하이닉스 사장 ) 조승환 ( 삼성전자 부사장 ) 소사이어티회장 이흥노 ( 광주과학기술원교수 ) - 통신 전영현 ( 삼성SDI 대표이사 ) - 반도체 강문식 ( 강릉원주대학교교수 ) - 컴퓨터 김창익 (KAIST 교수 ) - 신호처리 김영철 ( 군산대학교교수 ) - 시스템및제어 이병선 ( 김포대학교교수 ) - 신업전자 협동부회장김달수 ( 티엘아이대표이사 ) 김부균 ( 숭실대학교교수 ) 김상태 ( 한국산업기술평가관리원본부장 ) 김종대 ( 한국전자통신연구원책임연구원 ) 남상엽 ( 국제대학교교수 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박형무 ( 동국대학교교수 ) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 엄낙웅 ( 한국전자통신연구원소장 ) 유현규 ( 한국전자통신연구원책임연구원 ) 이강현 ( 조선대학교교수 ) 이광엽 ( 서경대학교교수 ) 이상홍 ( 정보통신기술진흥센터센터장 ) 이상회 ( 동서울대학교교수 ) 이승훈 ( 서강대학교교수 ) 이윤종 ( DB하이텍부사장 ) 이재훈 ( 유정시스템 사장 ) 인치호 ( 세명대학교교수 ) 임 혁 ( 광주과학기술원교수 ) 장태규 ( 중앙대학교교수 ) 정은승 ( 삼성전자 사장 ) 정 준 ( 쏠리드대표이사 ) 정진용 ( 인하대학교교수 ) 조상복 ( 울산대학교교수 ) 최승원 ( 한양대학교교수 ) 허 영 ( 한국전기연구원본부장 ) 호요성 ( 광주과학기술원교수 ) 상임이사 공준진 ( 삼성전자 Master) - 산학연 권종원 ( 한국산업기술시험원선임연구원 ) - 논문 (SC) 김동규 ( 한양대학교교수 ) - 논문 (SD) 김동식 ( 인하공업전문대학교수 ) - 사업 (IE) 김선욱 ( 고려대학교교수 ) - 총무 김소영 ( 성균관대학교교수 ) - 정보화 김수찬 ( 한경대학교교수 ) - 사업 (SC) 김승천 ( 한성대학교교수 ) - 논문 (CI) 김원종 ( 한국전자통신연구원실장 ) - 표준화 노원우 ( 연세대학교교수 ) - 재무 백광현 ( 중앙대학교교수 ) - 논문총괄 범진욱 ( 서강대학교교수 ) - 사업 (SD) 송병철 ( 인하대학교교수 ) - 사업 (SP) 신오순 ( 숭실대학교교수 ) - 논문 (TC) 심동규 ( 광운대학교교수 ) - SPC 편집 유창동 (KAIST 교수 ) - 국제협력 /ICCE-Asia 유회준 (KAIST 교수 ) - JSTS 편집 윤석현 ( 단국대학교교수 ) - 사업 (TC) 이강윤 ( 성균관대학교교수 ) - 사업총괄 이채은 ( 인하대학교교수 ) - 홍보 이충용 ( 연세대학교교수 ) - 기획 이혁재 ( 서울대학교교수 ) - 학술 ( 하계 ) 임재열 ( 한국기술교육대학교교수 ) - 교육 정영모 ( 한성대학교교수 ) - 회지편집 정종문 ( 연세대학교교수 ) - 회원 /ICCE-Asia 조도현 ( 인하공업전문대학교수 ) - 논문 (IE) 한종기 ( 세종대학교교수 ) - 논문 (SP) ` 황성운 ( 홍익대학교교수 ) - 사업 (CI) 황인철 ( 강원대학교교수 ) - 학술 ( 추계 ) 산업체이사고요환 ( 매그나칩반도체전무 ) 김태진 ( 더즈텍사장 ) 김현수 ( 삼성전자 상무 ) 박동일 ( 현대자동차 전무 ) 송창현 ( 네이버 CTO) 오의열 (LG 디스플레이 연구위원 ) 윤영권 ( 삼성전자 마스터 ) 조영민 ( 스카이크로스코리아사장 ) 조재문 ( 삼성전자 부사장 ) 차종범 ( 구미전자정보기술원원장 ) 최승종 (LG 전자 전무 ) 최진성 ( 도이치텔레콤부사장 ) 함철희 ( 삼성전자 Master) 홍국태 (LG 전자 연구위원 ) 이 사 강동구 ( 한국전기연구원선임연구원 ) - 홍보 공배선 ( 성균관대학교교수 ) - 학술 ( 하계 ) 권기룡 ( 부경대학교교수 ) - 학술 ( 추계 ) 권종기 ( 한국전자통신연구원책임연구원 ) - 사업 김대순 ( 전주비전대학교교수 ) - 지부 ( 전북 ) 김상철 ( 국민대학교교수 ) - 회원

9 김성진 ( 경남대학교교수 ) - 지부 ( 부산경남울산 ) 김성호 ( 한국산업기술평가관리원팀장 ) - 학술 ( 하계 ) 김영선 ( 대림대학교교수 ) - 회원 김용신 ( 고려대학교교수 ) - 학술 ( 추계 ) 김재곤 ( 한국항공대학교교수 ) - 국문논문 (SP) 김종옥 ( 고려대학교교수 ) - 정보화 김지훈 ( 이화여자대학교교수 ) - 총무 / 학술 ( 하계 ) 김진상 ( 경희대학교교수 ) - 사업 (SD) 김진수 ( 한밭대학교교수 ) - 지부 ( 대전충남 ) 김창수 ( 고려대학교교수 ) - 회지 김태욱 ( 연세대학교교수 ) - 기획 김태원 ( 상지영서대학교교수 ) - 홍보 남일구 ( 부산대학교교수 ) - 학술 ( 추계 ) 노태문 ( 한국전자통신연구원책임연구원 ) - 학술 ( 하계 ) 동성수 ( 용인송담대학교교수 ) - 사업 류수정 ( 삼성전자 상무 ) - 산학연 박인규 ( 인하대학교교수 ) - 회지 박철수 ( 광운대학교교수 ) - SPC 편집 박현창 ( 동국대학교교수 ) - 교육 배준호 ( 가천대학교교수 ) - 표준화 변경수 ( 인하대학교교수 ) - 홍보 서춘원 ( 김포대학교교수 ) - 기획 성해경 ( 한양여자대학교교수 ) - 정보화 송상헌 ( 중앙대학교교수 ) - 교육 송진호 ( 연세대학교교수 ) - 회원 심정연 ( 강남대학교교수 ) - 회지 유성철 (LG 히다찌본부장 ) - 사업 (IE) 윤지훈 ( 서울과학기술대학교교수 ) - 국문논문 (TC) 이민호 ( 경북대학교교수 ) - 사업 (CI) 이성수 ( 숭실대학교교수 ) - 기획 이승호 ( 한밭대학교교수 ) - 국문논문 ( 총괄 ) 이윤식 (UNIST 교수 ) - 홍보 이찬수 ( 영남대학교교수 ) - 사업 ( 총괄 ) 이창우 ( 가톨릭대학교교수 ) - 회지 이한호 ( 인하대학교교수 ) - 사업 (SD)/ 산학연 장길진 ( 경북대학교교수 ) - 국제협력 장익준 ( 경희대학교교수 ) - 학술 ( 하계 ) 정진곤 ( 중앙대학교교수 ) - 국문논문 ( 총괄 ) 제민규 (KAIST 교수 ) - 교육 차철웅 ( 전자부품연구원책임연구원 ) - 표준화 채영철 ( 연세대학교교수 ) - 재무 최재식 (UNIST 교수 ) - 국제협력 한영선 ( 경일대학교교수 ) - 총무 /SPC 편집 홍성훈 ( 전남대학교교수 ) - 광주전남지부 협동이사 강대성 ( 동아대학교교수 ) - 지부 ( 부산경남울산 ) 강윤희 ( 백석대학교교수 ) - 호서지부 고병철 ( 계명대학교교수 ) - 사업 (CI) 권구덕 ( 강원대학교교수 ) - 학술 ( 추계 ) 김경기 ( 대구대학교교수 ) - 국제협력 김광수 (KAIST 교수 ) - 국제협력 김도현 ( 제주대학교교수 ) - 기획 김동현 (ICTK 사장 ) - 산학연 김만배 ( 강원대학교교수 ) - 국문논문 (SP) 김상완 ( 아주대학교교수 ) - 홍보 김준모 (KAIST 교수 ) - 국제혐력 김진성 ( 선문대학교교수 ) - 학술 ( 하계 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) - 사업 김 현 ( 서울대학교교수 ) - 홍보 남기창 ( 동국대학교교수 ) - 회원 류성한 ( 한남대학교교수 ) - 학술 ( 추계 ) 박기찬 ( 건국대학교교수 ) - 표준화 박성욱 ( 강릉원주대학교교수 ) - 학술 ( 하계 ) 박수현 ( 국민대학교교수 ) - 회지 박영훈 ( 숙명여자대학교교수 ) - 학술 ( 하계 ) 박원규 ( 한국나노기술원팀장 ) - 표준화 박종선 ( 고려대학교교수 ) - 사업 (SD) 박형민 ( 서강대학교교수 ) - 사업 (CI) 변대석 ( 삼성전자 Master) - 산학연 변영재 (UNIST 교수 ) - 회지 선우경 ( 이화여자대학교교수 ) - 정보화 안태원 ( 동양미래대학교교수 ) - 국문논문 ( 총괄 ) 엄우용 ( 인하공업전문대학교수 ) - 국제협력 연규봉 ( 자동차부품연구원팀장 ) - 사업 오병태 ( 한국항공대학교교수 ) - 사업 ( 총괄 ) 윤성로 ( 서울대학교교수 ) - 회원 이문구 ( 김포대학교교수 ) - 교육 이우주 ( 명지대학교교수 ) - 국문논문 ( 총괄 ) 이재성 ( 한국교통대학교교수 ) - 학술 ( 하계 ) 이종호 ( 가천대학교교수 ) - 국문논문 (TC) 이주헌 ( 동아방송예술대학교교수 ) - 교육 이태동 ( 국제대학교교수 ) - 학술 ( 하계 ) 이형민 ( 고려대학교교수 ) - 학술 ( 추계 ) 정승원 ( 동국대학교교수 ) - SPC/ 학술 ( 추계 ) 정재필 ( 가천대학교교수 ) - 산학연 조성현 ( 힌양대학교교수 ) - 사업 채관엽 ( 삼성전자 박사 ) - 학술 ( 추계 ) 최강선 ( 한국기술교육대학교교수 ) - SPC 편집 최재원 ( 다음소프트이사 ) - 사업 (CI) 하정우 ( 네이버 Tech Leader) - 홍보 한태희 ( 성균관대학교교수 ) - 교육 허재두 ( 한국전자통신연구원본부장 ) - ICCE-Asia 황인정 ( 명지병원책임연구원 ) - 사업 (CI) 지부장명단 강원지부 김남용 ( 강원대학교교수 ) 광주 전남지부 원용관 ( 전남대학교교수 ) 대구 경북지부 최현철 ( 경북대학교교수 ) 대전 충남지부 주성순 ( 한국전자통신연구원박사 ) 부산 경남 울산지부 이상훈 ( 경남대학교교수 ) 전북지부 송제호 ( 전북대학교교수 ) 제주지부 김경연 ( 제주대학교교수 ) 충북지부 최영규 ( 한국교통대학교교수 ) 호서지부 장은영 ( 공주대학교교수 ) 일 본 백인천 (AIZU대학교교수 ) 미 국 최명준 ( 텔레다인박사 ) 러시아지부 Prof. Edis B. TEN (National University of Science and Technology)

10 The Magazine of the IEIE 자문위원회 위원회명단 위 원 장 홍승홍 ( 명예회장 ) 부위원장 이문기 ( 명예회장 ) 위 원 고성제 ( 고려대학교교수 ) 구용서 ( 단국대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김성대 (KAIST 교수 ) 김수중 ( 명예회장 ) 김영권 ( 명예회장 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 나정웅 ( 명예회장 ) 문영식 ( 한양대학교교수 ) 박규태 ( 명예회장 ) 박성한 ( 명예회장 ) 박진옥 ( 명예회장 ) 박항구 ( 소암시스텔회장 ) 서정욱 ( 명예회장 ) 성굉모 ( 서울대학교명예교수 ) 윤종용 ( 삼성전자상임고문 ) 이상설 ( 명예회장 ) 이재홍 ( 서울대학교교수 ) 이진구 ( 동국대학교석좌교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 임제탁 ( 명예회장 ) 전국진 ( 서울대학교교수 ) 전홍태 ( 중앙대학교교수 ) 정정화 ( 한양대학교석좌교수 ) 홍대식 ( 연세대학교교수 ) 기획위원회 위 원 장 이충용 ( 연세대학교교수 ) 위 원 김지훈 ( 이화여자대학교교수 ) 김태욱 ( 연세대학교교수 ) 노원우 ( 연세대학교교수 ) 백상헌 ( 고려대학교교수 ) 박영준 ( 한양대학교교수 ) 서춘원 ( 김포대학교교수 ) 양준성 ( 성균관대학교교수 ) 장익준 ( 경희대학교교수 ) 조성현 ( 한양대학교교수 ) 채영철 ( 연세대학교교수 ) 학술연구위원회 - 하계 위 원 장 이혁재 ( 서울대학교교수 ) 위 원 강문식 ( 강릉원주대학교교수 ) 공배선 ( 성균관대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김지훈 ( 이화여자대학교교수 ) 김진성 ( 선문대학교교수 ) 김 현 ( 서울대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 동성수 ( 용인송담대학교교수 ) 박성욱 ( 강릉원주대학교교수 ) 박영훈 ( 숙명여자대학교교수 ) 이재성 ( 교통대학교교수 ) 이채은 ( 인하대학교교수 ) 이태동 ( 국제대학교교수 ) 장익준 ( 경희대학교교수 ) 정윤호 ( 한국항공대학교교수 ) 학술연구위원회 - 추계 위 원 장 황인철 ( 강원대학교교수 ) 위 원 권구덕 ( 강원대학교교수 ) 권기룡 ( 부경대학교교수 ) 김용신 ( 고려대학교교수 ) 남일구 ( 부산대학교교수 ) 류성한 ( 한남대학교교수 ) 이형민 ( 고려대학교교수 ) 정승원 ( 동국대학교교수 ) 채관엽 ( 삼성전자박사 ) 한영선 ( 경일대학교교수 ) 논문편집위원회 위 원 장 백광현 ( 중앙대학교교수 ) 위 원 권종원 ( 한국산업기술시험원선임연구원 ) 김동규 ( 한양대학교교수 ) 김만배 ( 강원대학교교수 ) 김승천 ( 한성대학교교수 ) 김재곤 ( 한국항공대학교교수 ) 신오순 ( 숭실대학교교수 ) 안태원 ( 동양미래대학교교수 ) 윤지훈 ( 서울과학기술대학교교수 ) 이승호 ( 한밭대학교교수 ) 이우주 ( 명지대학교교수 ) 이종호 ( 가천대학교교수 ) 정진곤 ( 중앙대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 한종기 ( 세종대학교교수 ) 국제협력위원회 위 원 장 유창동 (KAIST 교수 ) 위 원 김경기 ( 대구대학교교수 ) 김광수 (KAIST 교수 ) 김준모 (KAIST 교수 ) 송상헌 ( 중앙대학교교수 ) 엄우영 ( 인하공업전문대학교수 ) 장길진 ( 경북대학교교수 ) 최재식 (UNIST 교수 ) 허재두 ( 한국전자통신연구원본부장 ) 산학연협동위원회 위 원 장 공준진 ( 삼성전자 Master) 위 원 김동현 (ICTK 사장 ) 김익균 ( 한국전자통신연구원그룹장 ) 류수정 ( 삼성전자상무 ) 민경식 ( 국민대학교교수 ) 박종선 ( 고려대학교교수 ) 변대석 ( 삼성전자 Master) 이영주 ( 광운대학교교수 ) 이한호 ( 인하대학교교수 ) 정재필 ( 가천대학교교수 ) 최두호 ( 한국전자통신연구원실장 ) 한태희 ( 성균관대학교교수 ) 회원관리위원회 위 원 장 정종문 ( 연세대학교교수 ) 위 원 김상철 ( 국민대학교교수 ) 김영선 ( 대림대학교교수 ) 김진상 ( 경희대학교교수 ) 남기창 ( 동국대학교교수 ) 박종일 ( 한양대학교교수 ) 송진호 ( 연세대학교교수 ) 신종원 ( 광주과학기술원교수 ) 유정봉 ( 공주대학교교수 ) 윤성로 ( 서울대학교교수 ) 정용규 ( 을지대학교교수 )

11 회지편집위원회 위 원 장 정영모 ( 한성대학교교수 ) 위 원 권종원 ( 한국산업기술시험원선임연구원 ) 김수찬 ( 한경대학교교수 ) 김영선 ( 대림대학교교수 ) 김창수 ( 고려대학교교수 ) 김 현 ( 부천대학교교수 ) 박수현 ( 국민대학교교수 ) 박인규 ( 인하대학교교수 ) 박종선 ( 고려대학교교수 ) 변영재 (UNIST 교수 ) 심정연 ( 강남대학교교수 ) 윤지훈 ( 서울과학기술대학교교수 ) 이종호 ( 가천대학교교수 ) 이찬수 ( 영남대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 정찬호 ( 한밭대학교교수 ) 사업위원회 총괄위원장 이강윤 ( 성균관대학교교수 ) C I 위원장 황성운 ( 홍익대학교교수 ) I E 위원장 김동식 ( 인하공업전문대학교수 ) S C 위원장 김수찬 ( 한경대학교교수 ) S D 위원장 범진욱 ( 서강대학교교수 ) S P 위원장 송병철 ( 인하대학교교수 ) T C 위원장 윤석현 ( 단국대학교교수 ) 위 원 강석주 ( 서강대학교교수 ) 고병철 ( 계명대학교교수 ) 권종기 ( 한국전자통신연구원책임연구원 ) 김용운 ( 옴니C&S 대표 ) 김원준 ( 건국대학교교수 ) 김진상 ( 경희대학교교수 ) 김진태 ( 건국대학교교수 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) 김현진 ( 단국대학교교수 ) 동성수 ( 용인송담대학교교수 ) 박종선 ( 고려대학교교수 ) 박형민 ( 서강대학교교수 ) 신철호 ( 한국전자통신연구원실장 ) 연규봉 ( 자동차부품연구원팀장 ) 오병태 ( 한국항공대학교교수 ) 유성철 (LG 히다찌본부장 ) 이민재 ( 광주과학기술원교수 ) 이민호 ( 경북대학교교수 ) 이윤구 ( 광운대학교교수 ) 이찬수 ( 영남대학교교수 ) 이한호 ( 인하대학교교수 ) 임승옥 ( 전자부품연구원센터장 ) 조성현 ( 한양대학교교수 ) 최 욱 ( 인천대학교교수 ) 최재원 ( 다음소프트이사 ) 황인정 ( 명지병원책임연구원 ) 교육위원회 위 원 장 임재열 ( 한국기술교육대학교교수 ) 위 원 동성수 ( 용인송담대학교교수 ) 박현창 ( 동국대학교교수 ) 송상헌 ( 중앙대학교교수 ) 이문구 ( 김포대학교교수 ) 이주헌 ( 동아방송예술대학교교수 ) 이찬호 ( 숭실대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 홍보위원회 위원장이채은 ( 인하대학교교수 ) 위원강동구 ( 한국전기연구원선임연구원 ) 김상완 ( 아주대학교교수 ) 김태원 ( 상지영서대학교교수 ) 김현 ( 서울대학교교수 ) 변경수 ( 인하대학교교수 ) 이윤식 (UNIST 교수 ) 하정우 ( 네이버 Tech Leader) 표준화위원회 위 원 장 김원종 ( 한국전자통신연구원실장 ) 간사 / 위원 배준호 ( 가천대학교교수 ) 위 원 강성원 ( 한국전자통신연구원본부장 ) 권기원 ( 성균관대학교교수 ) 김동규 ( 한양대학교교수 ) 김시호 ( 연세대학교교수 ) 박기찬 ( 건국대학교교수 ) 박원규 ( 한국나노기술원본부장 ) 변지수 ( 경북대학교교수 ) 송영재 ( 성균관대학교교수 ) 송용호 ( 한양대학교교수 ) 연규봉 ( 자동차부품연구원센터장 ) 이상근 ( 성균관대학교교수 ) 이서호 ( 한국기계전기전자시험연구원과장 ) 이성수 ( 숭실대학교교수 ) 이종묵 (SOL 대표 ) 이하진 ( 한국기초과학지원연구원책임연구원 ) 이해성 ( 전주대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 좌성훈 ( 서울과학기술대학교교수 ) 차철웅 ( 전자부품연구원책임연구원 ) 한태수 ( 국가기술표준원 / 디스플레이산업협회표준코디 ) 홍용택 ( 서울대학교교수 ) 정보화위원회 위 원 장 김소영 ( 성균관대학교교수 ) 위 원 김종옥 ( 고려대학교교수 ) 김진태 ( 건국대학교교수 ) 선우경 ( 이화여자대학교교수 ) 이윤명 ( 성균관대학교교수 ) 이후진 ( 한성대학교교수 ) 장익준 ( 경희대학교교수 ) 황진영 ( 한국항공대학교교수 ) 지부담당위원회 위 원 장 이장명 ( 부산대학교교수 ) 위 원 김경연 ( 제주대학교교수 ) 김남용 ( 강원대학교교수 ) 백인천 (AIZU대학교교수 ) 송제호 ( 전북대학교교수 ) 원용관 ( 전남대학교교수 ) 이상훈 ( 경남대학교교수 ) 장은영 ( 공주대학교교수 ) 주성순 ( 한국전자통신연구원박사 ) 최명준 ( 텔레다인박사 ) 최영규 ( 한국교통대학교교수 ) 최현철 ( 경북대학교교수 ) Prof. Edis B. TEN (National University of Science and Technology 교수 )

12 The Magazine of the IEIE 선거관리위원회 위 원 장 성굉모 ( 서울대학교명예교수 ) 위 원 김선욱 ( 고려대학교교수 ) 김지훈 ( 이화여자대학교교수 ) 노원우 ( 연세대학교교수 ) 이충용 ( 연세대학교교수 ) 이혁재 ( 서울대학교교수 ) 정종문 ( 연세대학교교수 ) 포상위원회 위 원 장 문영식 ( 한양대학교교수 ) 간사 / 위원 김선욱 ( 고려대학교교수 ) 위 원 노원우 ( 연세대학교교수 ) 백광현 ( 중앙대학교교수 ) 이혁재 ( 서울대학교교수 ) 최천원 ( 단국대학교교수 ) 재정위원회 위원장백준기 ( 중앙대학교교수 ) 위원노원우 ( 연세대학교교수 ) 문영식 ( 한양대학교교수 ) 박병국 ( 서울대학교교수 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 이재훈 ( 유정시스템대표이사 ) 정교일 ( 한국전자통신연구원책임연구원 ) 최승종 (LG 전자전무 ) 최천원 ( 단국대학교교수 ) 한대근 ( 실리콘웍스교수 ) 인사위원회 위 원 장 백준기 ( 중앙대학교교수 ) 위 원 김선욱 ( 고려대학교교수 ) 노원우 ( 연세대학교교수 ) 이충용 ( 연세대학교교수 ) 최천원 ( 단국대학교교수 ) SPC 위원회 위 원 장 심동규 ( 광운대학교교수 ) 부위원장 박철수 ( 광운대학교교수 ) 위 원 강석주 ( 서강대학교교수 ) 김영민 ( 광운대학교교수 ) 김창수 ( 고려대학교교수 ) 김태석 ( 광운대학교교수 ) 김 현 ( 서울대학교교수 ) 신원용 ( 단국대학교교수 ) 유양모 ( 서강대학교교수 ) 이재훈 ( 고려대학교교수 ) 이채은 ( 인하대학교교수 ) 정승원 ( 동국대학교교수 ) 조성현 ( 한양대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 한영선 ( 경일대학교교수 ) JSTS 위원회 위 원 장 Hoi-Jun Yoo (KAIST) 부위원장 Dim-Lee Kwong (Institute of Microelectronics) 위 원 Akira Matsuzawa (Tokyo Institute of Technology) Byeong-Gyu Nam (Chungnam National Univ.) Byung-Gook Park (Seoul National Univ.) Cary Y. Yang (Santa Clara Univ.) Chang sik Yoo (Hanyang Univ.) Chennupati Jagadish (Australian National Univ.) Deog-Kyoon Jeong (Seoul National Univ.) Dong S. Ha (Virginia Tech) Eun Sok Kim (USC) Gianaurelio Cuniberti (Dresden Univ. of Technology) Hi-Deok Lee (Chungnam Univ.) Hong June Park (POSTECH) Hyoung sub Kim (Sungkyunkwan Univ.) Hyun-Kyu Yu (ETRI) Jamal Deen (McMaster University, Canada) Jin wook Burm (Sogang Univ.) Jong-Uk Bu (Sen Plus) Jun young Park (UX Factory) Kofi Makinwa (Delft Univ. of Technology) Meyya Meyyappan (NASA Ames Research Center) Min-kyu Song (Dongguk Univ.) Moon-Ho Jo (POSTECH) Nobby Kobayashi (UC Santa Cruz) Paul D. Franzon (North Carolina State Univ.) Rino Choi (Inha Univ.) Sang-Hun Song (Chung-Ang Univ.) Sang-Sik Park (Sejong Iniv.) Seung-Hoon Lee (Sogang Univ.) Shen-Iuan Liu (National Taiwan Univ.) Shi ho Kim (Yonsei Univ.) Stephen A. Campbell (Univ. of Minnesota) Sung Woo Hwang (Korea Univ.) Tadahiro Kuroda (Keio Univ.) Tae-Song Kim (KIST) Tsu-Jae King Liu (UC Berkeley) Vojin G. Oklobdzija (Univ. of Texas at Dallas) Weileun Fang (National Tsing Hua Univ.) Woo geun Rhee (Tsinghua Univ.) Yang-Kyu Choi (KAIST) Yogesh B. Gianchandani (Univ. of Michigan, Ann Arbor) Yong-Bin Kim (Northeastern Univ.) Yuhua Cheng (Peking Univ.)

13 통신소사이어티 Society 명단 회 장 이흥노 ( 광주과학기술원교수 ) 부 회 장 김선용 ( 건국대학교교수 ) 김재현 ( 아주대학교교수 ) 김진영 ( 광운대학교교수 ) 김 훈 ( 인천대학교교수 ) 오정근 ( ATNS 대표이사 ) 유명식 ( 숭실대학교교수 ) 윤석현 ( 단국대학교교수 ) 이인규 ( 고려대학교교수 ) 허 준 ( 고려대학교교수 ) 감 사 이재진 ( 숭실대학교교수 ) 이호경 ( 홍익대학교교수 ) 협동부회장 김병남 ( 에이스테크놀로지연구소장 ) 김연은 ( 브로던대표이사 ) 김영한 ( 숭실대학교교수 ) 김용석 ( 답스대표이사 ) 김인경 (LG 전자상무 ) 류승문 (( 사 ) 개인공간서비스협회수석부의장 ) 박용석 ( LICT 대표이사 ) 방승찬 ( 한국전자통신연구원부장 ) 연철흠 (LG 텔레콤상무 ) 이승호 ( 하이게인부사장 ) 이재훈 ( 유정시스템대표이사 ) 정진섭 ( 이노와이어리스부사장 ) 정현규 ( 한국전자통신연구원부장 ) 이 사 김성훈 ( 한국전자통신연구원박사 ) 김정호 ( 이화여자대학교교수 ) 노윤섭 ( 한국전자통신연구원박사 ) 방성일 ( 단국대학교교수 ) 서철헌 ( 숭실대학교교수 ) 성원진 ( 서강대학교교수 ) 신요안 ( 숭실대학교교수 ) 윤종호 ( 한국항공대학교교수 ) 윤지훈 ( 단국대학교교수 ) 이재훈 ( 동국대학교교수 ) 이종창 ( 홍익대학교교수 ) 이종호 ( 가천대학교교수 ) 임종태 ( 홍익대학교교수 ) 장병수 ( 이노벨류네트웍스부사장 ) 조인호 ( 에이스테크놀로지박사 ) 최진식 ( 한양대학교교수 ) 최천원 ( 단국대학교교수 ) 허서원 ( 홍익대학교교수 ) 간 사 신오순 ( 숭실대학교교수 ) 김중헌 ( 중앙대학교교수 ) 조성현 ( 한양대학교교수 ) 연구회위원장 김광순 ( 연세대학교교수 ) - 통신 유태환 ( 한국전자통신연구원팀장 ) - 스위칭및라우팅 조춘식 ( 한국항공대학교교수 ) - 마이크로파및전파전파 이철기 ( 아주대학교교수 ) - ITS 김동규 ( 한양대학교교수 ) - 정보보안시스템 김강욱 ( 경북대학교교수 ) - 군사전자 - 방송ㆍ통신융합기술 허재두 ( 한국전자통신연구원본부장 ) - 무선 PAN/BAN 김봉태 ( 한국전자통신연구원소장 ) - 미래네트워크 반도체소사이어티 회 장 전영현 ( 삼성SDI 사장 ) 자문위원 권오경 ( 한양대학교교수 ) 선우명훈 ( 아주대학교교수 ) 신윤승 ( 삼성전자고문 ) 신현철 ( 한양대학교교수 ) 우남성 ( 삼성전자사장 ) 임형규 (SK 부회장 ) 수석부회장 조중휘 ( 인천대학교교수 ) 감 사 김경기 ( 대구대학교교수 ) 최중호 ( 서울시립대학교교수 ) 연구담당부회장 조경순 ( 한국외국어대학교교수 ) 사업담당부회장 김진상 ( 경희대학교교수 ) 학술담당부회장 범진욱 ( 서강대학교교수 ) 총무이사 공준진 ( 삼성전자마스터 ) 김동규 ( 한양대학교교수 ) 박종선 ( 고려대학교교수 ) 이한호 ( 인하대학교교수 ) 편집이사 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 한태희 ( 성균관대학교교수 ) 학술이사 강진구 ( 인하대학교교수 ) 김영환 ( 포항공과대학교수 ) 김재석 ( 연세대학교교수 ) 김철우 ( 고려대학교교수 ) 노정진 ( 한양대학교교수 ) 노원우 ( 연세대학교교수 ) 박성정 ( 건국대학교교수 ) 박홍준 ( 포항공과대학교수 ) 변영재 (UNIST 교수 ) 송민규 ( 동국대학교교수 ) 신현철 ( 광운대학교교수 ) 유창식 ( 한양대학교교수 ) 이혁재 ( 서울대학교교수 ) 전민용 ( 충남대학교교수 ) 정연모 ( 경희대학교교수 ) 정원영 ( 태성에스엔이이사 ) 정진균 ( 전북대학교교수 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 차호영 ( 홍익대학교교수 ) 최우영 ( 연세대학교교수 ) 사업이사 강성호 ( 연세대학교교수 ) 공배선 ( 성균관대학교교수 ) 권기원 ( 성균관대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김소영 ( 성균관대학교교수 ) 김시호 ( 연세대학교교수 ) 송용호 ( 한양대학교교수 ) 엄낙웅 ( 한국전자통신연구원소장 ) 윤광섭 ( 인하대학교교수 ) 조대형 ( 스위스로잔연방공대총장수석보좌관 ) 조상복 ( 울산대학교교수 ) 조태제 ( 삼성전기마스터 ) 최윤경 ( 삼성전자마스터 ) 최준림 ( 경북대학교교수 ) 산학이사 강태원 ( 넥셀사장 ) 김경수 ( 넥스트칩대표 ) 김달수 (TLI 대표 ) 김동현 (ICTK 사장 ) 김보은 ( 라온텍사장 ) 김준석 (ADT 사장 ) 변대석 ( 삼성전자마스터 ) 손보익 ( 실리콘웍스대표 ) 송태훈 ( 휴인스사장 ) 신용석 ( 케이던스코리아사장 ) 안흥식 (Xilinx Korea 지사장 ) 이도영 ( 옵토레인사장 ) 이서규 ( 픽셀플러스대표 ) 이윤종 ( 동부하이텍부사장 ) 이장규 ( 텔레칩스대표 ) 이종열 (FCI 부사장 ) 정해수 (Synopsys 사장 ) 최승종 (LG 전자전무 ) 허 염 ( 실리콘마이터스대표 ) 황규철 ( 삼성전자상무 ) 황정현 ( 아이닉스대표 ) 재무이사 김희석 ( 청주대학교교수 ) 임신일 ( 서경대학교교수 ) 회원이사 이광엽 ( 서경대학교교수 ) 최기영 ( 서울대학교교수 ) 간 사 강석형 (UNIST 교수 ) 김영민 ( 광운대학교교수 ) 김종선 ( 홍익대학교교수 ) 김형탁 ( 홍익대학교교수 ) 문 용 ( 숭실대학교교수 ) 백광현 ( 중앙대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이성수 ( 숭실대학교교수 ) 연구회위원장 오정우 ( 연세대학교교수 ) - 반도체소자및재료 이상신 ( 광운대학교교수 ) - 광파및양자전자공학 김동규 ( 한양대학교교수 ) - SoC설계 유창식 ( 한양대학교교수 ) - RF집적회로 정원영 ( 태성에스엔이이사 ) - PCB&Package

14 The Magazine of the IEIE 컴퓨터소사이어티 회 장 강문식 ( 강릉원주대학교교수 ) 명예회장 김승천 ( 한성대학교교수 ) 김형중 ( 고려대학교교수 ) 박인정 ( 단국대학교교수 ) 박춘명 ( 한국교통대학교교수 ) 신인철 ( 단국대학교교수 ) 안병구 ( 홍익대학교교수 ) 안현식 ( 동명대학교교수 ) 이규대 ( 공주대학교교수 ) 허 영 ( 한국전기연구원본부장 ) 홍유식 ( 상지대학교교수 ) 자문위원 남상엽 ( 국제대학교교수 ) 이강현 ( 조선대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 감 사 심정연 ( 강남대학교교수 ) 이강현 ( 조선대학교교수 ) 부 회 장 김도현 ( 제주대학교교수 ) 윤은준 ( 경일대학교교수 ) 정용규 ( 을지대학교교수 ) 황성운 ( 홍익대학교교수 ) 협동부회장 권호열 ( 강원대학교교수 ) 김영학 ( 한국산업기술평가관리원본부장 ) 박수현 ( 국민대학교교수 ) 우운택 (KAIST 교수 ) 조민호 ( 고려대학교교수 ) 최용수 ( 성결대학교교수 ) 총무이사 박성욱 ( 강릉원주대학교교수 ) 박영훈 ( 숙명여자대학교교수 ) 재무이사 황인정 ( 명지병원책임연구원 ) 홍보이사 이덕기 ( 연암공과대학교교수 ) 편집이사 강병권 ( 순천향대학교교수 ) 기장근 ( 공주대학교교수 ) 김진홍 ( 성균관대학교교수 ) 변영재 (UNIST 교수 ) 이석환 ( 동명대학교교수 ) 정혜명 ( 김포대학교교수 ) 진성아 ( 성결대학교교수 ) 학술이사 강상욱 ( 상명대학교교수 ) 권태경 ( 연세대학교교수 ) 김선욱 ( 고려대학교교수 ) 김천식 ( 세종대학교교수 ) 성해경 ( 한양여자대학교교수 ) 이문구 ( 김포대학교교수 ) 이민호 ( 경북대학교교수 ) 이찬수 ( 영남대학교교수 ) 이후진 ( 한성대학교교수 ) 한규필 ( 금오공과대학교교수 ) 한태화 ( 연세대의료원연구팀장 ) 사업이사 김홍균 ( 다스파워기술고문 ) 박세환 ( 한국과학기술정보연구원전문연구위원 ) 박승창 ( 유오씨사장 ) 조병순 ( 시엔시인스트루먼트사장 ) 산학이사 김대휘 ( 한국정보통신대표이사 ) 노소영 ( 월송출판대표이사 ) 서봉상 ( 올포랜드이사 ) 송치봉 ( 웨이버스이사 ) 오승훈 ( 주얼린대표이사 ) 유성철 (LG 히다찌산학협력팀장 ) 조병영 ( 태진인포텍전무 ) 논문편집위원장 진 훈 ( 경기대학교교수 ) 연구회위원장 윤은준 ( 경일대학교교수 ) - 융합컴퓨팅 이민호 ( 경북대학교교수 ) - 인공지능 / 신경망 / 퍼지 이후진 ( 한성대학교교수 ) - 멀티미디어 진 훈 ( 경기대학교교수 ) - 휴먼ICT 김도현 ( 제주대학교교수 ) - M2M/IoT 우운택 (KAIST 교수 ) - 증강휴먼 황성운 ( 홍익대학교교수 ) - CPS보안 신호처리소사이어티 회 장 김창익 (KAIST 교수 ) 자문위원 김정태 ( 이화여자대학교교수 ) 김홍국 ( 광주과학기술원교수 ) 이영렬 ( 세종대학교교수 ) 전병우 ( 성균관대학교교수 ) 조남익 ( 서울대학교교수 ) 홍민철 ( 숭실대학교교수 ) 감 사 한종기 ( 세종대학교교수 ) 이영렬 ( 세종대학교교수 ) 부 회 장 김문철 (KAIST 교수 ) 박종일 ( 한양대학교교수 ) 심동규 ( 광운대학교교수 ) 협동부회장 강동욱 ( 정보통신기술진흥센터 CP) 김진웅 ( 한국전자통신연구원그룹장 ) 백준기 ( 중앙대학교교수 ) 변혜란 ( 연세대학교교수 ) 신원호 (LG 전자상무 ) 양인환 (TI Korea 이사 ) 오은미 ( 삼성전자 Master) 이병욱 ( 이화여자대학교교수 ) 지인호 ( 홍익대학교교수 ) 최병호 ( 전자부품연구원센터장 ) 이 사 강현수 ( 충북대학교교수 ) 권기룡 ( 부경대학교교수 ) 김남수 ( 서울대학교교수 ) 김창수 ( 고려대학교교수 ) 김해광 ( 세종대학교교수 ) 박구만 ( 서울과학기술대학교교수 ) 박인규 ( 인하대학교교수 ) 서정일 ( 한국전자통신연구원선임연구원 ) 신지태 ( 성균관대학교교수 ) 엄일규 ( 부산대학교교수 ) 유양모 ( 서강대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상윤 ( 연세대학교교수 ) 이창우 ( 가톨릭대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 장길진 ( 경북대학교교수 ) 장준혁 ( 한양대학교교수 ) 한종기 ( 세종대학교교수 ) 협동이사 강상원 ( 한양대학교교수 ) 강제원 ( 이화여자대학교교수 ) 구형일 ( 아주대학교교수 ) 권구락 ( 조선대학교교수 ) 김기백 ( 숭실대학교교수 ) 김기백 ( 숭실대학교교수 ) 김상효 ( 성균관대학교교수 ) 김용환 ( 전자부품연구원선임연구원 ) 김원준 ( 건국대학교교수 ) 김응규 ( 한밭대학교교수 ) 김재곤 ( 한국항공대학교교수 ) 박상윤 ( 명지대학교교수 ) 박현진 ( 성균관대학교교수 ) 박호종 ( 광운대학교교수 ) 서영호 ( 광운대학교교수 ) 신재섭 ( 픽스트리대표이사 ) 신종원 ( 광주과학기술원교수 ) 양현종 (UNIST 교수 ) 이기승 ( 건국대학교교수 ) 이상철 ( 인하대학교교수 ) 이종설 ( 전자부품연구원책임연구원 ) 임재윤 ( 제주대학교교수 ) 장세진 ( 전자부품연구원센터장 ) 전세영 (UNIST 교수 ) 정찬호 ( 한밭대학교교수 ) 최강선 ( 한국기술교육대학교교수 ) 최승호 ( 서울과학기술대학교교수 ) 최준원 ( 한양대학교교수 ) 홍성훈 ( 전남대학교교수 ) 총무간사 허용석 ( 아주대학교교수 ) 연구회위원장 김무영 ( 세종대학교교수 ) - 음향및신호처리 송병철 ( 인하대학교교수 ) - 영상신호처리 이찬수 ( 영남대학교교수 ) - 영상이해 예종철 (KAIST 교수 ) - 바이오영상신호처리

15 시스템및제어소사이어티 회 장 김영철 ( 군산대학교교수 ) 부 회 장 김수찬 ( 한경대학교교수 ) 유정봉 ( 공주대학교교수 ) 이경중 ( 연세대학교교수 ) 주영복 ( 한국기술교육대학교교수 ) 감 사 김영진 ( 생산기술연구원박사 ) 남기창 ( 동국대학교교수 ) 총무이사 권종원 ( 한국산업기술시험원선임연구원 ) 김용태 ( 한경대학교교수 ) 재무이사 김준식 (KIST 박사 ) 최영진 ( 한양대학교교수 ) 학술이사 김용권 ( 건양대학교교수 ) 서성규 ( 고려대학교교수 ) 편집이사 남기창 ( 동국대학교교수 ) 이수열 ( 경희대학교교수 ) 기획이사 이덕진 ( 군산대학교교수 ) 최현택 ( 한국해양과학기술원책임연구원 ) 사업이사 고낙용 ( 조선대학교교수 ) 양연모 ( 금오공과대학교교수 ) 이석재 ( 대구보건대학교교수 ) 산학연이사 강대희 ( 유도 박사 ) 조영조 ( 한국전자통신연구원박사 ) 홍보이사 김재욱 ( 한국한의학연구원박사 ) 김호철 ( 을지대학교교수 ) 박재병 ( 전북대학교교수 ) 여희주 ( 대진대학교교수 ) 회원이사 권오민 ( 충북대학교교수 ) 김기연 ( 한국산업기술시험원주임연구원 ) 김종만 ( 전남도립대학교교수 ) 김지홍 ( 전주비전대학교교수 ) 문정호 ( 강릉원주대학교교수 ) 박명진 ( 경희대학교교수 ) 변영재 (UNIST 교수 ) 송철규 ( 전북대학교교수 ) 이상준 ( 선문대학교교수 ) 이태희 ( 전북대학교교수 ) 이학성 ( 세종대학교교수 ) 정재훈 ( 동국대학교교수 ) 최수범 (KISTI 연구원 ) 자문위원 김덕원 ( 연세대학교교수 ) 김희식 ( 서울시립대학교교수 ) 박종국 ( 경희대학교교수 ) 서일홍 ( 한양대학교교수 ) 오상록 (KIST 분원장 ) 오승록 ( 단국대학교교수 ) 오창현 ( 고려대학교교수 ) 정길도 ( 전북대학교교수 ) 허경무 ( 단국대학교교수 ) 연구회위원장 한수희 (POSTECH 교수 ) - 제어계측 이성준 ( 한양대학교교수 ) - 회로및시스템 남기창 ( 동국대학교교수 ) - 의용전자및생체공학 김규식 ( 서울시립대학교교수 ) - 전력전자 김영철 ( 군산대학교교수 ) - 지능로봇 이석재 ( 대구보건대학교교수 ) - 국방정보및제어 이덕진 ( 군산대학교교수 ) - 자동차전자 오창현 ( 고려대학교교수 ) - 의료영상시스템 권종원 ( 한국산업기술시험원선임연구원 ) - 스마트팩토리 산업전자소사이어티 회 장 이병선 ( 김포대학교교수 ) 명예회장 원영진 ( 부천대학교교수 ) 자 문 단 윤기방 ( 인천대학교명예교수 ) 강창수 ( 유한대학교교수 ) 이원석 ( 동양미래대학교교수 ) 이상회 ( 동서울대학교교수 ) 남상엽 ( 국제대학교교수 ) 이상준 ( 수원과학대학교교수 ) 최영일 ( 조선이공대학교총장 ) 김용민 ( 충청대학교교수 ) 윤한오 ( 경주스마트미디어센터교수 ) 김종부 ( 인덕대학교교수 ) 진수춘 ( 한백전자대표 ) 장 철 ( 우성정보기술대표 ) 한성준 ( 아이티센부사장 ) 감 사 김은원 ( 대림대학교교수 ) 이시현 ( 동서울대학교교수 ) 부 회 장 김동식 ( 인하공업전문대학교수 ) 상임이사 김 현 ( 부천대학교교수 ) 김상범 ( 폴리텍인천교수 ) 김영로 ( 명지전문대학교수 ) 김영선 ( 대림대학교교수 ) 김태용 ( 구미대학교교수 ) 김태원 ( 상지영서대학교교수 ) 동성수 ( 용인송담대학교교수 ) 서춘원 ( 김포대학교교수 ) 성해경 ( 한양여자대학교교수 ) 송도선 ( 우송정보대학교교수 ) 안태원 ( 동양미래대학교교수 ) 엄우용 ( 인하공업전문대학교수 ) 우찬일 ( 서일대학교교수 ) 윤중현 ( 조선이공대학교교수 ) 이문구 ( 김포대학교교수 ) 이태동 ( 국제대학교교수 ) 정재필 ( 가천대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 최의선 ( 폴리텍아산캠퍼스교수 ) 협동상임이사 강현웅 ( 핸즈온테크놀로지대표 ) 김세종 (SJ정보통신부사장 ) 김응연 ( 인터그래텍대표 ) 김진선 ( 청파이엠티본부장 ) 김태형 ( 하이버스대표 ) 박현찬 ( 나인플러스EDA 대표 ) 서봉상 ( 올포랜드이사 ) 성재용 ( 오픈링크시스템대표 ) 송광헌 ( 복두전자대표 ) 송치봉 ( 웨이버스이사 ) 유성철 (LG 히다찌본부장 ) 이영준 ( 비츠로시스본부장 ) 전한수 ( 세림티에스지이사 ) 조규남 ( 로봇신문사대표 ) 조병용 ( 태진인포텍부사장 ) 조한일 ( 에이블정보기술상무 ) 최석우 ( 한국정보기술상무 ) 재무이사 강민구 ( 경기과학기술대학교교수 ) 강희훈 ( 여주대학교교수 ) 곽칠성 ( 재능대학교교수 ) 김경복 ( 경복대학교교수 ) 문현욱 ( 동원대학교교수 ) 안성수 ( 명지전문대학교수 ) 이용구 ( 한림성심대학교교수 ) 이종하 ( 전주비전대학교교수 ) 주진화 ( 오산대학교교수 ) 학술이사 구자일 ( 인하공업전문대학교수 ) 김덕수 ( 동양미래대학교교수 ) 김용중 ( 한국폴리텍대학교수 ) 김종오 ( 동양미래대학교교수 ) 이동영 ( 명지전문대학교수 ) 이영종 ( 여주대학교교수 ) 이영진 ( 을지대학교교수 ) 이종용 ( 광운대학교교수 ) 장경배 ( 고려사이버대학교교수 ) 정경권 ( 동신대학교교수 ) 사업이사 고정환 ( 인하공업전문대학교수 ) 김영우 ( 두원공과대학교교수 ) 김윤석 ( 상지영서대학교교수 ) 박진홍 ( 혜전대학교교수 ) 방극준 ( 인덕대학교교수 ) 변상준 ( 대덕대학교교수 ) 심완보 ( 충청대학교교수 ) 오태명 ( 명지전문대학교수 ) 장성석 ( 영진전문대학교수 ) 산학연이사 서병석 ( 상지영서대학교교수 ) 성홍석 ( 부천대학교교수 ) 원우연 ( 폴리텍춘천교수 ) 이규희 ( 상지영서대학교교수 ) 이정석 ( 인하공업전문대학교수 ) 이종성 ( 부천대학교교수 ) 정환익 ( 경복대학교교수 ) 최홍주 ( 상지영서대학교교수 ) 한완옥 ( 여주대학교교수 ) 협동이사 강현석 ( 로보웰코리아대표 ) 고강일 ( 이지테크대표 ) 김민준 ( 베리타스부장 ) 김연길 ( 대보정보통신본부장 ) 김창일 ( 아이지대표 ) 김태웅 ( 윕스부장 ) 남승우 ( 상학당대표 ) 박정민 ( 오므론과장 ) 오재곤 ( 세인부사장 ) 유제욱 ( 한빛미디어부장 ) 이요한 ( 유성SDI 대표 ) 이진우 ( 글로벌이링크대표 )

16 The Magazine of the IEIE 제 22 대평의원명단 강동구 ( 한국전기연구원책임연구원 ) 강문식 ( 강릉원주대학교교수 ) 강성원 ( 한국전자통신연구원부장 ) 강진구 ( 인하대학교교수 ) 강창수 ( 유한대학교교수 ) 고성제 ( 고려대학교교수 ) 고영채 ( 고려대학교교수 ) 고요환 ( 매그나칩반도체 전무 ) 고정환 ( 인하공업전문대학교수 ) 공배선 ( 성균관대학교교수 ) 공준진 ( 삼성전자 마스터 ) 구용서 ( 단국대학교교수 ) 구원모 ( 전자신문사대표이사 ) 권기룡 ( 부경대학교교수 권기원 ( 성균관대학교교수 ) 권순철 ( 기가코리아사업단단장 ) 권오경 ( 한양대학교교수 ) 권종기 ( 한국전자통신연구원책임연구원 ) 권종원 ( 한국산업기술시험원선임연구원 ) 권호열 ( 강원대학교교수 ) 김경기 ( 대구대학교교수 ) 김경연 ( 제주대학교교수 ) 김광순 ( 연세대학교교수 ) 김기남 ( 삼성전자 사장 ) 김남용 ( 강원대학교교수 ) 김달수 ( 티엘아이대표이사 ) 김대순 ( 전주비전대학교교수 ) 김덕진 ( 명예회장 ) 김도현 ( 명예회장 ) 김도현 ( 제주대학교교수 ) 김동규 ( 한양대학교교수 ) 김동순 ( 전자부품연구원센터장 ) 김동식 ( 인하공업전문대학교수 ) 김동현 (ICTK 사장 ) 김만배 ( 강원대학교교수 ) 김봉태 ( 한국전자통신연구원본부장 ) 김부균 ( 숭실대학교교수 ) 김상철 ( 국민대학교교수 ) 김상태 ( 한국산업기술평가관리원본부장 ) 김상효 ( 성균관대학교교수 ) 김선용 ( 건국대학교교수 ) 김선욱 ( 고려대학교교수 ) 김성대 (KAIST 교수 ) 김성우 ( 서울대학교교수 ) 김성호 ( 한국산업기술평가관리원팀장 ) 김소영 ( 성균관대학교교수 ) 김수중 ( 명예회장 ) 김수찬 ( 한경대학교교수 ) 김수환 ( 서울대학교교수 ) 김승천 ( 한성대학교교수 ) 김시호 ( 연세대학교교수 ) 김영권 ( 명예회장 ) 김영로 ( 명지전문대학교수 ) 김영선 ( 대림대학교교수 ) 김영진 ( 한국생산기술연구원수석연구원 ) 김영철 ( 군산대학교교수 ) 김영학 ( 한국산업기술평가관리원본부장 ) 김영환 ( 포항공과대학교교수 ) 김용민 ( 충청대학교교수 ) 김용석 ( 성균관대학교교수 ) 김용신 ( 고려대학교교수 ) 김원종 ( 한국전자통신연구원실장 ) 김은원 ( 대림대학교교수 ) 김재곤 ( 한국항공대학교교수 ) 김재석 ( 연세대학교교수 ) 김재현 ( 아주대학교교수 ) 김재희 ( 연세대학교교수 ) 김정식 ( 대덕전자회장 ) 김정태 ( 이화여자대학교교수 ) 김정호 ( 이화여자대학교교수 ) 김종대 ( 한국전자통신연구원소장 ) 김종선 ( 홍익대학교교수 ) 김종옥 ( 고려대학교교수 ) 김준모 ( 한국과학기술원교수 ) 김지훈 ( 이화여자대학교교수 ) 김진상 ( 경희대학교교수 ) 김진수 ( 한밭대학교교수 ) 김진영 ( 광운대학교교수 ) 김 짐 ( 한국산업기술평가관리원선임연구원 ) 김창수 ( 고려대학교교수 ) 김창익 ( 한국과학기술원교수 ) 김태연 ( 삼성전자 상무 ) 김태욱 ( 연세대학교교수 ) 김태원 ( 상지영서대학교교수 ) 김태진 ( 더즈텍사장 ) 김 현 ( 부천대학교교수 ) 김현수 ( 삼성전자 상무 ) 김형중 ( 고려대학교교수 ) 김형탁 ( 홍익대학교교수 ) 김호철 ( 을지대학교교수 ) 김홍국 ( 광주과학기술원교수 ) 김 훈 ( 인천대학교교수 ) 김휘용 ( 한국전자통신연구원책임연구원 ) 김희석 ( 청주대학교교수 ) 나정웅 ( 명예회장 ) 남기창 ( 동국대학교교수 ) 남상엽 ( 국제대학교교수 ) 남상욱 ( 서울대학교교수 ) 남일구 ( 부산대학교교수 ) 노원우 ( 연세대학교교수 ) 노태문 ( 한국전자통신연구원책임연구원 ) 동성수 ( 용인송담대학교교수 ) 류수정 ( 삼성전자 상무 ) 문병인 ( 경북대학교교수 ) 문영식 ( 한양대학교교수 ) 문 용 ( 숭실대학교교수 ) 민경식 ( 국민대학교교수 ) 박광로 ( 한국전자통신연구원부장 ) 박규태 ( 명예회장 ) 박민호 ( 숭실대학교교수 ) 박병국 ( 서울대학교교수 ) 박성민 ( 이화여자대학교교수 ) 박성욱 (SK하이닉스 부회장 ) 박성한 ( 명예회장 ) 박수현 ( 국민대학교교수 ) 박영훈 ( 숙명여자대학교교수 ) 박인규 ( 인하대학교교수 ) 박종선 ( 고려대학교교수 ) 박종일 ( 한양대학교교수 ) 박진옥 ( 명예회장 ) 박찬구 ( 인피니언테크놀로지스파워세미텍대표이사 ) 박청원 ( 전자부품연구원원장 ) 박춘명 ( 한국교통대학교교수 ) 박항구 ( 명예회장 ) 박현창 ( 동국대학교교수 ) 박형무 ( 동국대학교교수 ) 박홍준 ( 포항공과대학교교수 ) 방성일 ( 단국대학교교수 ) 배준호 ( 가천대학교교수 ) 백광현 ( 중앙대학교교수 ) 백만기 ( 김 & 장법률사무소변리사 ) 백상헌 ( 고려대학교교수 ) 백준기 ( 중앙대학교교수 ) 백흥기 ( 전북대학교교수 ) 범진욱 ( 서강대학교교수 ) 변경수 ( 인하대학교교수 ) 변대석 ( 삼성전자 마스터 ) 변영재 ( 울산과학기술대학교교수 ) 서성규 ( 고려대학교교수 ) 서승우 ( 서울대학교교수 ) 서정욱 ( 명예회장 ) 서철헌 ( 숭실대학교교수 ) 서춘원 ( 김포대학교교수 ) 선우경 ( 이화여자대학교교수 ) 선우명훈 ( 아주대학교교수 ) 성굉모 ( 명예회장 ) 성해경 ( 한양여자대학교교수 ) 손광준 ( 한국산업기술평가관리원 PD) 손보익 ( 실리콘웍스대표이사 ) 송문섭 (( 유 ) 엠세븐시스템대표이사 ) 송민규 ( 동국대학교교수 ) 송병철 ( 인하대학교교수 ) 송상헌 ( 중앙대학교교수 ) 송용호 ( 한양대학교교수 ) 송제호 ( 전북대학교교수 ) 송진호 ( 연세대학교교수 ) 송창현 ( 네이버 CTO) 신오순 ( 숭실대학교교수 ) 신요안 ( 숭실대학교교수 ) 신지태 ( 성균관대학교교수 ) 신현철 ( 한양대학교교수 ) 신현철 ( 광운대학교교수 ) 심동규 ( 광운대학교교수 ) 심정연 ( 강남대학교교수 ) 안병구 ( 홍익대학교교수 ) 안승권 (LG 사이언스파크대표 ) 안태원 ( 동양미래대학교교수 ) 안현식 ( 동명대학교교수 ) 엄낙웅 ( 한국전자통신연구원소장 ) 엄우용 ( 인하공업전문대학교수 ) 엄일규 ( 부산대학교교수 ) 연규봉 ( 자동차부품연구원팀장 ) 예종철 ( 한국과학기술원교수 ) 오상록 ( 한국과학기술연구원책임연구원 ) 오성목 (KT 사장 ) 오승록 ( 단국대학교교수 ) 오은미 ( 삼성전자 마스터 ) 오창현 ( 고려대학교교수 ) 우운택 ( 한국과학기술원교수 ) 원영진 ( 부천대학교교수 ) 원용관 ( 전남대학교교수 ) 유명식 ( 숭실대학교교수 ) 유성철 (LGH 본부장 )

17 유창동 ( 한국과학기술원교수 ) 유창식 ( 한양대학교교수 ) 유태환 ( 한국전자통신연구원책임연구원 ) 유현규 ( 한국전자통신연구원책임연구원 ) 유회준 ( 한국과학기술원교수 ) 윤광섭 ( 인하대학교교수 ) 윤기방 ( 인천대학교명예교수 ) 윤석현 ( 단국대학교교수 ) 윤성로 ( 서울대학교교수 ) 윤영권 ( 삼성전자 마스터 ) 윤은준 ( 경일대학교교수 ) 윤일구 ( 연세대학교교수 ) 윤종용 ( 삼성전자 비상임고문 ) 윤지훈 ( 서울과학기술대학교교수 ) 이강윤 ( 성균관대학교교수 ) 이강현 ( 조선대학교교수 ) 이경중 ( 연세대학교교수 ) 이광엽 ( 서경대학교교수 ) 이규대 ( 공주대학교교수 ) 이문구 ( 김포대학교교수 ) 이문기 ( 명예회장 ) 이문석 ( 부산대학교교수 ) 이민영 ( 한국반도체산업협회본부장 ) 이민호 ( 경북대학교교수 ) 이병선 ( 김포대학교교수 ) 이병욱 ( 이화여자대학교교수 ) 이상근 ( 중앙대학교교수 ) 이상설 ( 명예회장 ) 이상신 ( 광운대학교교수 ) 이상준 ( 수원과학대학교명예교수 ) 이상홍 ( 정보통신기술진흥센터 ( 전 ) 센터장 ) 이상회 ( 동서울대학교교수 ) 이상훈 ( 경남대학교교수 ) 이석희 (SK하이닉스 사장 ) 이성수 ( 숭실대학교교수 ) 이성철 ( 전자부품연구원수석연구원 ) 이승호 ( 한밭대학교교수 ) 이승훈 ( 서강대학교교수 ) 이원석 ( 동양미래대학교교수 ) 이윤식 ( 울산과학기술대학교교수 ) 이윤종 ( DB하이텍부사장 ) 이인규 ( 고려대학교교수 ) 이장명 ( 부산대학교교수 ) 이재진 ( 숭실대학교교수 ) 이재홍 ( 서울대학교교수 ) 이재훈 ( 유정시스템 사장 ) 이종호A ( 서울대학교 ( 바이오 ) 교수 ) 이종호B ( 서울대학교 ( 반도체 ) 교수 ) 이진구 ( 명예회장 ) 이찬수 ( 영남대학교교수 ) 이찬호 ( 숭실대학교교수 ) 이창우 ( 카톨릭대학교교수 ) 이채은 ( 인하대학교교수 ) 이천희 ( 전임회장 ) 이충용 ( 연세대학교교수 ) 이충웅 ( 명예회장 ) 이태원 ( 명예회장 ) 이필중 ( 포항공과대학교명예교수 ) 이한호 ( 인하대학교교수 ) 이혁재 ( 서울대학교교수 ) 이호경 ( 홍익대학교교수 ) 이후진 ( 한성대학교교수 ) 이흥노 ( 광주과학기술원교수 ) 이희국 ( LG 상임고문 ) 이희덕 ( 충남대학교교수 ) 인치호 ( 세명대학교교수 ) 임성빈 ( 숭실대학교교수 ) 임신일 ( 서경대학교교수 ) 임재열 ( 한국기술교육대학교교수 ) 임제탁 ( 명예회장 ) 임형규 (SK텔레콤고문 ) 임혜숙 ( 이화여자대학교교수 ) 장길진 ( 경북대학교교수 ) 장은영 ( 공주대학교교수 ) 장익준 ( 경희대학교교수 ) 장태규 ( 중앙대학교교수 ) 전경훈 ( 삼성전자 부사장 ) 전국진 ( 서울대학교교수 ) 전병우 ( 성균관대학교교수 ) 전영현 ( 삼성SDI 대표이사 ) 전홍태 ( 중앙대학교교수 ) 정교일 ( 한국전자통신연구원책임연구원 ) 정길도 ( 전북대학교교수 ) 정연모 ( 경희대학교교수 ) 정영모 ( 한성대학교교수 ) 정원영 ( 태성에스엔이이사 ) 정은승 ( 삼성전자 사장 ) 정정화 ( 명예회장 ) 정제창 ( 한양대학교교수 ) 정종문 ( 연세대학교교수 ) 정 준 ( 쏠리드대표이사 ) 정진곤 ( 중앙대학교교수 ) 정진균 ( 전북대학교교수 ) 정진용 ( 인하대학교교수 ) 정항근 ( 전북대학교교수 ) 제민규 ( 한국과학기술원교수 ) 조경록 ( 충북대학교교수 ) 조경순 ( 한국외국어대학교교수 ) 조남익 ( 서울대학교교수 ) 조도현 ( 인하공업전문대학교수 ) 조상복 ( 울산대학교교수 ) 조성현 ( 한양대학교교수 ) 조승환 ( 삼성전자 부사장 ) 조재문 ( 삼성전자 부사장 ) 조중휘 ( 인천대학교교수 ) 조진웅 ( 전자부품연구원수석연구원 ) 주성순 ( 한국전자통신연구원박사 ) 주영복 ( 한국기술교육대학교교수 ) 진 훈 ( 경기대학교교수 ) 차철웅 ( 전자부품연구원책임연구원 ) 채관엽 ( 삼성전자 수석연구원 ) 채영철 ( 연세대학교교수 ) 천경준 ( 씨젠회장 ) 최강선 ( 한국기술교육대학교교수 ) 최기영 ( 서울대학교교수 ) 최성현 ( 서울대학교교수 ) 최승원 ( 한양대학교교수 ) 최승종 (LG 전자 전무 ) 최영규 ( 한국교통대학교교수 ) 최영진 ( 한양대학교교수 ) 최윤경 ( 삼성전자 마스터 ) 최재식 (UNIST 교수 ) 최정환 ( 삼성전자 마스터 ) 최준림 ( 경북대학교교수 ) 최중호 ( 서울시립대학교교수 ) 최진성 ( 도이치텔레콤 부사장 ) 최천원 ( 단국대학교교수 ) 최해철 ( 한밭대학교교수 ) 최현철 ( 경북대학교교수 ) 한동석 ( 경북대학교교수 ) 한수희 ( 포항공과대학교교수 ) 한영선 ( 경일대학교교수 ) 한종기 ( 세종대학교교수 ) 한태희 ( 성균관대학교교수 ) 함철희 ( 삼성전자 마스터 ) 허경무 ( 단국대학교교수 ) 허서원 ( 홍익대학교교수 ) 허 염 ( 실리콘마이터스대표이사 ) 허 영 ( 한국전기연구원본부장 ) 허재두 ( 한국전자통신연구원본부장 ) 허 준 ( 고려대학교교수 ) 호요성 ( 광주과학기술원교수 ) 홍국태 (LG 전자 연구위원 ) 홍대식 ( 연세대학교교수 ) 홍민철 ( 숭실대학교교수 ) 홍승홍 ( 명예회장 ) 홍용택 ( 서울대학교교수 ) 홍유식 ( 상지대학교교수 ) 홍인기 ( 경희대학교교수 ) 황성운 ( 홍익대학교교수 ) 황승구 ( 한국전자통신연구원소장 ) 황승훈 ( 동국대학교교수 ) 황인정 ( 명지병원책임연구원 ) 황인철 ( 강원대학교교수 ) 황인태 ( 전남대학교교수 ) 사무국직원명단송기원국장 - 대외업무, 업무총괄, 기획, 자문, 산학연, 선거, 지부이안순부장 - 국내학술, 총무, 포상, 임원관련, 시스템및제어소사이어티배지영부장 - 국제학술, 국문논문, 교육, 컴퓨터소사이어티, 산업전자소사이어티배기동차장 - 사업, 표준화, 용역, 회원관리, 홍보, 신호처리소사이어티변은정차장 - 재무 ( 본회 / 소사이어티 / 연구회 ), 학회지, 통신소사이어티김천일차장 - 정보화, 반도체소사이어티장다희서기 - 국제학술, 국제업무, SPC/JSTS 영문지발간

18 제2차전체이사회개최제 2차전체이사회가 6월 8일 ( 금 ) 18시 SC컨벤션센터아이리스홀 ( 과학기술회관 12층 ) 에서개최되었다. 이번회의결과는다음과같다. 1. 성원보고 - 42명의상임이사중 22명이참석하여성원되었음 ICCE-Asia 2018 ICCE-Asia는대한전자공학회와국제전기전자학회 (IEEE) 가공동으로개최하는국제학술대회로서, 최근우리나라가세계를선도하고있는드론, 인공지능, 자율주행, 자동차등에서소비자전자공학기술의국제화를목표로 6월 24일 ( 일 ) 부터 26일 ( 화 ) 까지제주라마다프라자호텔에서개최되었다. 2. 위원회보고 - 각위원회별로위원장들이서면및발표보고사항을진행하고위원회별주요사항을논의함 3. 심의사항 - 신규회원가입을승인함 4. 기타 금년에는 16개국, 약 220여편의논문이발표된가운데이번학술대회는유창동교수 (KAIST) 가 General Chair, 정종문교수 ( 연세대학교 ) 가 Organization Chair로, 백상헌교수 ( 고려대학교 ) 가 Technical Program Chair로참여하였다. 첫째날 6월 24일 ( 일 ) 에는 6개의튜토리얼이진행되었으며, Welcome Reception에는여러국가로부터온약 70명의참가자들이참가하여환영인사및담소를나누었다. 둘째날 6월 25일 ( 월 ) 개회식에는백준기학회장과유창동교수의환영사와 General Co-Chair인 IEEE CE Society의 Sharon Peng 회장의환영사로시작을알리며, 곧이어카이스트의오준호교수와창조경제연구회의이민화이사장의 Plenary Talk가진행되었다. 오후에는 University of Toronto Institute for Aerospace Studies의 Angela Schoellig 교수의 Plenary Talk이있었다. 저녁에는 IEEE CE Society의 Stephen Dukes 부회장의환영사로만찬의시작을알렸으며, Best Paper 시상식및공연과함께 Conference Banquet이진행되었다. 셋째날 6월 26일 ( 화 ) 에는 3개의튜토리얼이진행되었다. 제 2 차전체이사회 572 _ The Magazine of the IEIE 12

19 News Welcome Reception Plenary Talk 1 - 카이스트오준호교수 개회식 - 백준기학회장인사말 Plenary Talk 2 - 창조경제연구회이민화이사장 개회식 - General Chair 유창동교수인사말 Plenary Talk 3 - University of Toronto Institute for Aerospace Studies 의 Angela Schoellig 교수 개회식 - General Co-Chair, IEEE CE Society 의 Sharon Peng 회장인사말 주요참가자기념촬영 - 초청인사, 조직위원및명예회장 13 전자공학회지 _ 573

20 이번학술대회와부대행사를통해다양한기관과분야에서연구하는회원들이그동안의연구결과를발표하고토론하는과정에서학술적. 기술적정보가교류되어한국의전자.IT 학문및산업발전에직. 간접적으로기여할수있었다. Conference Banquet Welcome Reception Conference Banquet 2018 하계종합학술대회우리학회모든소사이어티가함께참여하는하계종합학술대회 ( 조직위원장 : 이혁재교수 ( 서울대 )) 가 6월 27일 ( 수 ) 부터 6월 29일 ( 금 ) 까지롯데호텔제주 ( 서귀포시 ) 에서개최되었다. 이번학술대회는 Artificial Intelligence led by IEIE: Now and the Future 라는테마를선정하고그에따른튜토리얼, 산업체 / 연구소세션, 특별세션, 국제학회발표초청리뷰세션, 신진연구자초청세션, 드론경진대회등다양한프로그램을마련하였으며, 아울러약 620여편의논문이발표되었고부대행사를포함하여 1,200여명의참가자들이참석하였다. 첫날 6월 27일 ( 수 ) 에는일반, 특별세션및튜토리얼, 산업체 / 연구소세션, 교육세미나워크샵과 Welcome Reception 이진행되었으며, 6 월 28일 ( 목 ) 에는일반, 특별세션및초청발표논문을비롯하여개회식에는백준기학회장의환영사와삼성전자박용인부사장의 Keynote 가발표되었고이후우리학회와미국 IEEE가공동시상하는 IT Young Engineer Award 시상식, Conference Banquet, 관련산업체들의취업상담, 드론경진대회등이이루어졌다. 마지막날인 6월 29 일 ( 금 ) 에는일반및국제학회발표리뷰세션등이운영되었고아울러폐회식에서는학술대회기간중선정된우수학생논문상시상과학생참가자대상경품추첨이진행되었다. 개회식 - 백준기학회장환영사 Banquet Joint-Award 시상식 574 _ The Magazine of the IEIE 14

21 News 구두발표 폐회식 - 참가자기념사진 - 산업전자소사이어티 - 하계기술교육세미나 /IT 융합및스마트로봇경진대회 포스터발표 산업전자소사이어티 ( 회장 : 이병선교수 ( 김포대 )) 에서는 2018 IES 하계기술교육세미나 /IT융합및스마트로봇경진대회 그리고 드론 A 하모닉컨트롤경진대회 ( 시범런칭 ) 를 6월 22일 ( 금 ) ~ 23일 ( 토 ) 양일간에걸쳐김포대학교호프관및변안나기념관에서개최하였다. 이번행사에서는 IT관련학과학생들이 IT융합과스마트로봇에서필요로하는지식중창의적공학설계및마이크로프로세서프로그램설계의전체과정을일괄적으로이해하는능력을측정하여우수자를선발하는전국규모의경진대회로총 273명이참석하여우수자를선발하였다. 인력채용부스운영 하계학술대회장 폐회식 - 우수학생논문상수상자기념사진 IT 융합경진대회장 15 전자공학회지 _ 575

22 - 반도체소사이어티 년 SoC 설계연구회하계워크숍 IT 융합경진대회대상수상자 SoC설계연구회 ( 위원장 : 김동규교수 ( 한양대 )) 에서는 2018년 SoC 설계연구회하계워크숍 을 7월 2일 ( 월 ) ~ 3일 ( 화 ) 2일간휘닉스호텔 2층아젠다룸 ( 강원도평창소재 ) 에서개최하였다. 이번워크숍에서는대기업-펩리스-파운드리생태계의불안정성, 초일류설계기술및아키텍트급설계인력의부족, 자국정부의막대한지원에힘입은중국의맹추격등으로인해잠재적인어려움이예상되어국내반도체산업의새로운돌파구마련을위해 차세대반도체와융합 SoC 기술 에대한주제로산학연자리를마련하여다양한연구에대하여함께논의하고고민하는자리가되었다. 스마트로봇경진대회장 2018 년 SoC 설계연구회하계워크숍 스마트로봇경진대회금상수상자 스마트로봇경진대회단체사진 576 _ The Magazine of the IEIE 16

23 News 신규회원가입현황 (2018 년 6 월 5 일 년 7 월 6 일 ) 정회원고재헌 (LIG넥스원), 윤명근 ( 고려대학교 ), 김승수 ( 광운대학교 ), 손채봉 ( 광운대학교 ), 김동욱 ( 국방과학연구소 ), 원웅재 ( 대구경북과학기술원 ), 최민국 ( 대구경북과학기술원 ), 임재균 ( 명지병원 ), 최형석 ( 수원대학교 ), 홍상근 ( 엘아이지넥스원 ), 정성 ( 용인송담대학교 ), 유지훈 ( 위캔솔루션 ), 노승엽 ( 지에쓰씨 ), 권순재 ( 한국과학기술원 ), 김광수 ( 한국과학기술원 ), 김철기 ( 한국항공대학교 ), 백종민 ( 한신 ), 최원용 ( 한화시스템 ) 이상 18명평생회원배준호 ( 가천대학교 ), 권태수 ( 서울과학기술대학교 ), 이창훈 ( 서울과학기술대학교 ), 이윤명 ( 성균관대학교 ), 김병섭 ( 포항공과대학교 ), 윤성재 ( 한국전자통신연구원 ) 이상 6명학생회원원유섭 ( 고려대학교 ), 이한솔 ( 고려대학교 ), 정우중 ( 고려대학교 ), 노덕훈 ( 고려대학교 ), 정경수 ( 고려대학교 ), 김정아 ( 광운대학교 ), 남혁준 ( 국립한국교통대학교 ), 김민재 ( 국민대학교 ), 김선규 ( 동국대학교 ), 김혜빈 ( 동국대학교 ), 안종우 ( 부산대학교 ), 윤성욱 ( 부산대학교 ), 최지욱 ( 부산대학교 ), 김창열 ( 부산대학교 ), 김도균 ( 서울과학기술대학교 ), 김성연 ( 서울과학기술대학교 ), 정채영 ( 서울과학기술대학교 ), 강철호 ( 서울대학교 ), 김문현 ( 서울대학교 ), 조현수 ( 서울대학교 ), 이해룡 ( 서울대학교 ), 이재훈 ( 서울시립대학교 ), 강소연 ( 성균관대학교 ), 미셍가뭄펠라조엘 ( 숙명여자대학교 ), 안현호 ( 아주대학교 ), 장민수 ( 안양대학교 ), 이민규 ( 인하대학교 ), 이승호 ( 인하대학교 ), 김근태 ( 충남대학교 ), 김소영 ( 충남대학교 ), 사이드 ( 충북대학교 ), 아르사란사드 ( 충북대학교 ), 채원식 ( 충북대학교 ), 홍지운 ( 충북대학교 ), 이수민 ( 한국과학기술연구원 ), 김영은 ( 한국과학기술원 ), 왕현철 ( 한국기술교육대학교 ), 신진우 ( 한국한업기술대학교 ), 강영은 ( 한국항공대학교 ), 손기범 ( 한국항공대학교 ), 김연수 ( 한밭대학교 ), 서성현 ( 한성대학교 ), 김태완 ( 한양대학교 ), 남효성 ( 한양대학교 ), 손윤식 ( 홍익대학교 ) 이상 45명 17 전자공학회지 _ 577

24 학회일지 The Institute of Electronics and Information Engineers 2018년 6월 16일 ~ 2018년 7월 16일 1. 회의개최 회의명칭일시장소주요안건 3 차추계조직위원회의 6.22 (7:30) 쉐라톤팔래스강남호텔 - 학술대회프로그램구성논의외 2. 행사개최 행사명칭일시장소주관 하계기술교육세미나 / IT 융합및스마트로봇경진대회 6.22~23 김포대학교산업전자소사이어티 ICCE-Asia ~26 제주라마다프라자호텔본회 하계종합학술대회 6.27~29 롯데호텔제주 ( 서귀포시 ) 학술위원회 SoC 설계연구회하계워크숍 7.2~3 휘닉스호텔 ( 강원도 ) SoC 설계연구회 578 _ The Magazine of the IEIE 18

25 특 집 편 집 기 Neuromorphic 시스템설계기술 김영민편집위원 ( 광운대학교 ) 최근 AI( 인공지능 ) 으로대 표되는 4 차산업혁명의발전과 활성화를위하여 SW 분야뿐 만아니라, HW 분야에서도다 양한연구가시도되고있다. 특 히, 사람의뇌신경을모방하여 뇌의정보처리방식을기억소 자와최신의집적회로설계기 술을기반으로모방하려는시 도가활발하게이루어지고있다. 이런반도체를뉴로모픽 (Neuromorphic) 칩이라고하는데, 이는뇌신경을모방한 반도체로써딥러닝등인공지능기능을하드웨어로구현한 것이다. 뉴로모픽칩은기존대비아주우수한저전력연산 이가능하기때문에, 차세대반도체기술로여겨지고있다. 본특집회에서는이러한뉴로모픽칩설계를위한소자, 알 고리즘, 회로및시스템설계등에관한관련전문가들의논 문 5 편으로구성되었다. 구 ( 유호영외 ) 에서는인공신경망 ( 뉴로모픽 ) 의아날로그시냅스구현을위해제안된여러가지소자기술중멤리스터 (Memristor) 소자의기본동작원리와, 이를활용한최근뉴로모픽시스템설계의연구동향을소개하였다. 셋째, 확률컴퓨터기반딥뉴럴넷 ( 이종은 ) 에서는근사계산의일종인확률컴퓨팅 (stochastic computing) 을소개하고, 이에기반한딥뉴럴넷하드웨어설계기술들을소개하였다. 넷째, 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 ( 김재준외 ) 에서는현재까지학계에서보고된저항성메모리기반뉴럴네트워크가속기하드웨어의설계원리에대해서간략히정리하고구현에있어생기는여러기술적이슈들과그에대한해결방안등을소개하였다. 끝으로, 엣지디바이스를위한뉴로모픽비동기프로세서의설계 ( 이진경외 ) 에서는엣지디바이스를위한저전력뉴로모픽칩에적용가능한비동기설계방식과실제설계사례에대해서소개하였다. 교육과연구의바쁜일정중에본특집호를위하여옥고를 첫째, 뉴로모픽시스템의설계기술과전망 ( 김현성외 ) 은생물학적신경개념과일반적인뉴런모델은물론여러가지시냅스웨이트학습방법들에대해서설명하고, 뉴로모픽시스템설계를위한다양한회로설계기법들을소개하였다. 둘째, 멤리스터소자를활용한뉴로모픽동향연 보내주신집필진여러분께감사드리며, 본특집호가뉴로모픽칩설계를위한소자, 회로설계, CAD 전문가들의교류와협력을위한새로운계기가되어 4차산업혁명기반기술발전과경쟁력강화에기여할수있기를기원한다. 19 전자공학회지 _ 579

26 특집 뉴로모픽시스템의설계기술과전망 뉴로모픽시스템의설계 기술과전망 Ⅰ. 서론 김현성고려대학고당호영고려대학교박종선고려대학교 최근인공지능, 딥러닝 (Deep Learning) 의빠른성장요인은데이터, 알고리즘, 하드웨어세가지항목으로나눌수있다. 우선, 학습을수행하기위해서는방대한양의학습데이터가필요한데, 빅데이터 (Big Data) 의활성화로많은양의학습데이터를수용할수있는환경이조성되었다. 그리고 Convolutional Neural Network(CNN) 와같은알고리즘의개발로이미지구별성능이인간의능력보다뛰어난수준으로발전하였다. 마지막으로, Application Specific Integrated Circuit(ASIC) 등의반도체집적기술로알고리즘계산속도가향상되었다. 위의성장요인을바탕으로발전한딥러닝은이미지분류, 자연어처리, 게임등많은분야에서활발한연구가이루어지고있다. 딥러닝을다양한어플리케이션에적용시키기위한연구는다양한알고리즘의발전과함께수행되어왔다. 그중많은학습알고리즘은역전파학습 (Backpropagation) 알고리즘을기반으로한다고할수있다. 수학적으로학습능력이검증된역전파학습알고리즘은일반적으로지도학습 (Supervised Learning) 으로분류되며, 입력데이터와입력에대한정답을나타내는라벨 (Label) 을통해높은정확도를실현한다. 그러나현실에서존재하는대부분의데이터에는라벨이없고, 라벨을포함하면서학습에사용되는데이터는한정적이다. 그러므로지도학습은사전에정해진특정데이터에대해서만학습이가능하다는한계가따른다. 대체로지도학습이높은학습정확도를보임에도불구하고앞선한계점으로인해, 구체적인사전정보없이주어진환경에서자율적으로학습하는비지도학습 (Unsupervised Learning) 에대한관심이높아지고있다. 현재상황을판단하고스스로학습하는비지도학습은마치인간이 580 _ The Magazine of the IEIE 20

27 뉴로모픽시스템의설계기술과전망 생각하고학습하는모습과유사하다고말할수있다. 보다인간적인학습능력의요구와함께, 실제뇌의학습및구조를탐구하는뉴로모픽공학 (Neuromorphic Engineering) 이주목을받고있다. 뉴로모픽공학은신경시스템에착안하여다양한신호혹은물리적계산을생물학적형태로접근하는노력을시도하고있으며, 대표적으로 Spiking Time Dependent Plasticity(STDP) 와같은시냅스신경메커니즘에기반한학습알고리즘연구는비지도학습연구에다양한선택지를제시하고있다. 한편, 뉴로모픽의스파이크 (Spike) 신호를중심으로한생물학적접근은알고리즘뿐아니라저전력하드웨어시스템설계연구와병행되고있다. 현재복잡한알고리즘으로구성된대부분의딥러닝은학습시막대한전력을요구하고 Off-Chip으로학습을진행해야하지만, 뉴로모픽연구는저전력 On-Chip 학습으로의발전가능성을가지고있다. 특히스파이크의사건기반 (Event-driven) 계산으로인하여추론 (Inferencing) 동작을저전력으로수행할수있다는장점은저전력 On-Chip-Learning 시스템설계에있어강점으로부각되고있다. 본논문은뉴로모픽시스템의설계기술과전망을알아보기위해, 섹션 Ⅱ에서생물학적신경개념과함께일반적인뉴런모델을살펴보고, 섹션 Ⅲ에서는뉴런모델로구성된신경망을학습시키기위한방법으로, 기존딥러닝에서도사용되던알고리즘과함께신경메커니즘에기반한알고리즘을소개한다. 더불어섹션 Ⅳ의학습과네트워크측면의하드웨어에서저전력, 저면적시냅스회로와신경시스템을고려한프로세싱아키텍처를소개하며뉴로모픽시스템설계동향과전망을제시한다. 파이크를발생시키고, 생성된신경신호는시냅스를거쳐인접뉴런으로전달된다. 위와같은생물학적특성을따라 Hodgkin-Huxley, Izhikevich 등의뉴런모델이제시되었는데, 일반적으로뉴로모픽분야의스파이킹뉴럴네트워크 (Spiking Neural Network) 에서는 Leaky-Integrate-and- Fire(LIF) 모델을많이사용하고있다. LIF 모델은 < 그림 1> 과같이저항과커패시터로구성된간단한전기적회로로구현할수있으며, 수식적으로아래와같이표현된다 [1]. (1) (2) (3) 수식을실제뉴런과비교할때, 는막전위 (Membrane potential) 로서이전뉴런에서전달되는활동전위 (Action potential) 인스파이크와시냅스의연결강도를나타내는웨이트 (Weight) 와의곱정보로부터전위가바뀌게된다. 아웃풋뉴런에연결된각각다른인풋뉴런의스파이크와웨이트곱의합인입력전류는커 Ⅱ. 생물학적뉴런과모델링 < 그림 1> LIF 뉴런모델의회로 [1] 생물학적으로신경시스템인뇌는뉴런으로구성되어있고, 서로다른뉴런은시냅스를통해연결되어있다. 시냅스는뉴런에서신경물질을전달하는축색돌기 (Axon) 와전달물질을취합하는수상돌기 (Dendrite) 로구성되어있다. 시간이지남에따라수상돌기에일정수준이상의정보가전달되면뉴런에서는일종의전기적신호인스 < 그림 2> 인풋스파이크에대한막전위변화그래프 [1] 21 전자공학회지 _ 581

28 김현성, 당호영, 박종선 1. 최소자승법, 퍼셉트론학습머신러닝에서가장오래된학습알고리즘으로최소자승법 (Least Mean Square) 과퍼셉트론 (Perceptron) 학습이있다 [3]. 두알고리즘은단순한연산으로학습의수렴이빠르다는장점을가지고있고, 다른여러알고리즘에기반이되는학습으로써의의가있다. < 그림 3> 개략적인신경망구조패시터의전하량을변화시키게되고, < 그림 2> 와같이막전위가사전에정해놓은문턱값 (Threshold) 을넘어섰을때새로운아웃풋스파이크를발생 (Fire) 시키게된다. 만약일정시간동안유입되는전류가없다면는막전위시상수에따라감소하게된다. 이러한뉴런모델은 < 그림 3> 과같이신경망의각노드를형성한다. 신경망으로입력되는데이터는다양한스파이크인코딩기법을통해생성된이산적인시간정보로서, 노드사이를통과하며학습에사용된다. Ⅲ. 시냅스웨이트학습방법 신경망의이전계층 (Layer) 에위치한모든뉴런은다음계층에있는각뉴런과모두연결되며각각의연결은시냅스를형성한다. 위시냅스는웨이트로나타내지며실제학습의대상이된다. 생물학적으로시냅스의연결강도가자유자재로강해지고약해지는신경가소성의성질은곧뇌의학습에기반이되고, 뉴로모픽공학에선이러한생물학적양상을따라현재스파이크를기반으로한신경망알고리즘연구가진행되고있다. 시냅스학습에관한다양한방법이제시고있지만특히세가지요구사항에주안점을둘필요가있다. 먼저웨이트학습을위한계산복잡도가낮아야한다. 두번째로웨이트학습의로컬리티 (Locality) 가보장되어특정계층에서웨이트를학습하는데필요한파라미터들이국부적으로사용되어야한다. 마지막으로학습의수렴속도가빨라야한다. 본섹션에서는앞선요구사항을바탕으로다양한신경망알고리즘을소개한다. a. Least Mean Square (LMS) 최소자승법은주어진데이터에대해예상되는학습모델이데이터분포에대한근사곡선과얼마나유사한가에초점을맞춰, 전반적인오차를최소화하는학습방법이다. 간단한예시로 < 그림 4> 와같은적응선형결합기 (Adaptive Linear Combiner) 에대해다음과같은수식을도출할수있다. (4) (5) 는인풋벡터, 는웨이트벡터를의미하며는예상모델에대한기댓값을나타낸다. 는실제값을의미하며는예상오차로서비용함수 (Cost Function) 의파라미터가된다. 평균제곱오차 (Mean Square Error) 의방법으로웨이트를업데이트할때웨이트의변화량은다음과같이표현된다. (6) 는학습비율로서보통 0.1에서 1사이의값을가지고학습수렴속도를결정하며, 학습의수렴속도와안정도는트레이드오프 (Tradeoff) 관계를가진다. < 그림 4> Adaptive Linear Combiner 회로 [3] 582 _ The Magazine of the IEIE 22

29 뉴로모픽시스템의설계기술과전망 b. Perceptron 퍼셉트론학습방법의핵심은어떤신경세포의활성으로다른신경세포가잘못된출력을낸다면, 두신경세포간의연결가중치를조절하는것으로오류를줄일수있다는것이다. 퍼셉트론학습방법은최소자승법과는달리적응선형결합기의출력단에문턱값함수를사용함으로써비선형네트워크를형성한다. 새로운출력는부호함수를통해표현되며, 예상오차와웨이트의변화량은다음과같이나타낼수있다. (7) (8) (9) 퍼셉트론학습방법은선형분리가능한데이터를대상으로학습을반복할시언젠가는원하는해법을찾을수있지만, 비선형데이터를대상으로높은정확도를기대하긴어렵다. 2. 역전파학습과거몇십년동안딥러닝에가장널리사용되고있는알고리즘으로역전파학습 (Backpropagation) 과경사하강법 (Gradient Decent) 이있다. 이름에서유추할수있듯이설계된신경망의가장마지막계층에서가장앞단계층으로학습이이루어지며, 비용함수의값이최소화되도록웨이트가학습된다. 수식적으로아웃풋계층의 i번째뉴런의출력은다음과같다. (10) 는인풋계층의 j번째뉴런과아웃풋계층의 i번째뉴런사이의웨이트, 는인풋계층의 j번째뉴런출력의크기, 는바이어스 (Bias) 를나타내며는활성함수 (Activation Function) 을의미한다. 학습시웨이트의변화량은다음과같다. (11) 는학습비율, 는아웃풋계층에서예상오차를의미 < 그림 5> 역전파학습알고리즘의기본도식도 [6] 한다. < 그림 5> 는역전파학습알고리즘의기본적인도식도이다. 동작의절차를순서대로따라가면우선전방향 (Forward) 으로각계층마다인풋에대해아웃풋뉴런값을계산하고각아웃풋뉴런에서오차를계산한다. 모든계층에서각뉴런의오차가계산되었으면, 역전방향 (Backward) 으로계층의각뉴런에서발생한오차가이전계층의뉴런과연결된웨이트대비얼마나영향을받았는지계산한다. 마지막으로미분의연쇄법칙 (Chain rule) 을사용하여파라미터의기울기를구하고웨이트를업데이트한다. 역전파학습은무엇보다도직관적이고다양한어플리케이션에적용될수있다는장점이있지만, 동작상사용되는활성함수, MAC(Multiplication and Accumulation) 연산과정확한기울기를표현하기위한부동소수점의사용은메모리나전력소모측면에서비효율적이다. 또한특정계층에서웨이트를학습시키기위해다른계층들의웨이트나오차정보가요구되는점과학습이뒤에서앞으로진행된다는점은피드포워드 (Feedforward) 방식의신경시스템과생물학적관점에서차이가있다. 기존의연산복잡도를낮추고메모리자원을최적화하기위한연구로 Binary State Network [7] 와 Pipelined Backpropagation [8] 등이제시되었다. Binary State Network는뉴런의출력이 (0/1) 혹은 (-1/1) 로표현 23 전자공학회지 _ 583

30 김현성, 당호영, 박종선 되기때문에기존의전방향 MAC연산이가감산기로대체될수있으며, Pipelined Backpropagation 은 error ternarization 기법을사용함으로써역전방향의 MAC 연산을간단한연산기로대체할수있었다. 또한최근역전파학습알고리즘으로제시된 Random Backpropagation(RBP) 은기존역전파학습에서웨이트학습의로컬리티가보장되지않았던문제를보완하고있다 [6]. 역전파학습알고리즘의특성상어떤특정계층에서웨이트를학습시키기위해서는역전방향으로해당계층에도달하기전까지다른계층을거쳐야하므로, 연산을위해요구되는파라미터수가많고학습의로컬리티가보장된다고볼수없다. 제시된알고리즘의핵심은역전방향으로웨이트를업데이트할때이전계층의웨이트 ( ) 대신에랜덤한웨이트매트릭스 ( ) 를사용하는것이다. 는로부터피드백을받고, 무어-펜로즈의사역행렬 (Moore-Penrose Pseudoinverse) 에근거하여에근접하게된다. 즉, 웨이트가네트워크자체에적응해가는방식을통해기존의계층사이웨이트전달 (weight transport and global information) 문제의해결책을제시하고있다. 역전파학습방법은복잡한연산을가지며생물학적접근법과는다소거리가멀다. 하지만깊은구조의신경망에서학습의정확도가보장된다는장점은스파이크를기반으로한 Auto-Encoder [9] 혹은 Conversion [10] 방법등에함께적용되는연구가진행되고있다. (14) 수식적으로는출력스파이크의발생시간에서입력스파이크의발생시간을감산한값이고, 와는웨이트의최대변화량을의미한다. 동작상입력과출력이인과의관계에있을때, 즉어떤시점에서특정뉴런의출력스파이크발생시간이입력스파이크발생시간보다느리면, 웨이트를장기강화 (Long-term potentiation) 시키고, 반대로입력스파이크의발생시간이출력스파이크의발생시간보다느리면웨이트를장기억압 (Longterm depression) 시킴으로써학습을진행한다. STDP 알고리즘은특정뉴런을기준으로스파이크의출입시간만을사용한다는점에서학습의로컬리티를보장한다고말할수있다. < 그림 6> 는이전 (Pre), 이후 (Post) 시냅스간의스파이크발생시간차이에대한흥분성시냅스후전위 (EPSP) 를보여준다. EPSP 그래프의양상은곧시냅스스파이크시간차에대한장기강화, 장기억압이며웨이트의증가, 3. Spike Time Dependent Plasticity (STDP) 현재뉴로모픽의스파이킹뉴럴네트워크에사용되는가장인기있는학습알고리즘은 STDP이다 [11-12]. STDP 는뇌에서뉴런사이의연결이조정되는생물학적특성을따라, 특정뉴런에대한출력스파크와입력스파이크의상대적인시간차이를이용하는학습방법이다. STDP 의학습능력에대한많은실험이진행되면서다양한학습모델이제시되었는데, 그중에서가장보편적인모델의형태는다음수식과같다. (12) (13) < 그림 6> Pre, Post 시냅스의스파이크발생시간차에따른 EPSP 그래프 [13] < 그림 7> MNIST 데이터셋에 STDP 알고리즘을적용한학습시뮬레이션 584 _ The Magazine of the IEIE 24

31 뉴로모픽시스템의설계기술과전망 히뉴런의이전, 이후시냅스를통과하는스파이크의발생시간뿐만이아니라스파이크의발생비율에도의존한다는의견이일반적이다. 이와관련된학습알고리즘으로 SDSP(Spike Driven Synaptic Plasticity) 는스파이크의상대적발생시간뿐아니라인풋패턴에대한아웃풋스파이크발생비율을사용하며, 학습방법은아래의수식을따른다. < 그림 8> STDP 를사용한얕은네트워크구조 [14] (15) (16) < 그림 9> STDP와컨벌루션레이어를사용한깊은네트워크구조 [15] 감소변화라고볼수있다. 또한그래프는시간의인과관계가미세한차이를보일수록더욱큰변화가적용되는지수함수임을확인할수있다. 생물학적이고학습로컬리티가보장되는 STDP 방법은특히비지도학습에서많이사용되고있다. 간단한예시로 < 그림 7> 은 MIST 데이터셋을대상으로, Python기반의 Brain2 시뮬레이터상에서 STDP 알고리즘을사용한비지도학습의시뮬레이션결과이다. STDP 알고리즘을사용한연구로는, < 그림 8> 와같은얕은구조부터 < 그림 9> 의스파이킹뉴럴네트워크에 Convolutional Neural Network(CNN) 의기법을적용한깊은구조등활발한연구가진행중이다. 4. Spike Driven Synaptic Plasticity (SDSP) STDP 알고리즘은인풋, 아웃풋의시간차를사용한거시적장기강화, 장기억압으로웨이트학습을수행한다. 한편일부연구에서는 STDP가실제로얼마나안정적인학습방법인지에의문을제기하며, 실제생물학적장기강화, 장기억압이더복잡한메커니즘을따른다고주장한다 [16]. 관련된실험따르면생물학적시냅스의연결강도는단순 알고리즘은수식적으로시냅스의스파이크발생시간 ( ), 이후시냅스뉴런의막전위의상태 ( ) 그리고최근스파이크발생빈도 ( ) 에따라내부적인아날로그변수 ( ) 를변화시킨다. 이때는사전에정한문턱값으로서앞의다른항목에서설명한뉴런의스파이크문턱값과는관련이없다. 에따라웨이트는아래의두가지상태를가진다. (17) (18) 만약입력스파이크가없다면수식 (19), (20) 에서의기울기는현재아날로그값에따라일정한값을가지며, 최종적으로웨이트는안정된두가지시냅스상태에수렴한다. (19) (20) SDSP는 STDP에비해특히하드웨어측면에서의이점이예상된다. 일반적으로신경망에서무수히많은시냅스웨이트를학습하기위해서는큰비휘발성메모리가필요한반면에, SDSP 방법을사용했을때바이너리로표현되는시냅스웨이트는비휘발성메모리없이 CMOS 하드웨어에적용될수있으므로보다많은하드웨어설계방향을제시할수있을것이다 [17]. 25 전자공학회지 _ 585

32 김현성, 당호영, 박종선 5. Sparse coding Sparse coding 은인풋데이터가학습이가능한최소의 정보형태로가공될수있다고가정할때, 알려지지않은대상의특징을추출하는비지도학습알고리즘의한부류이다. 알고리즘의유효성은 < 그림 10>, < 그림 11> 과같이 Sparse coding 알고리즘을사용하여학습한 filter를통해사용된데이터를복원하는모습을통해확인가능하다. 또한 Sparse coding 알고리즘의 filter가대상을지나면서 sparse한정보를찾는과정이생물학적인구조와비슷하다는연구결과가제시되면서많은관심을불러일으키고있다 [18]. 알고리즘과관련된연구에서는최적의 Sparse codes 와사전학습 (Dictionary Learning) 정보를찾으며뉴럴아키텍처를탐구하는시도가계속되고있다. 하드웨어관점에서 Sparse coding의장점은매우적은수의스파이크가 sparse하게활성화되므로에너지측면에서효율이높다는것이다. 또한뉴로모픽의스파이크특성을이용하면, 로컬웨이트업데이트방식을사용함으로써 Sparse coding이하드웨어제작에부분적으로적절하다는연구는뉴로모픽알고리즘발전의또다른방향성을제시하고있다 [19]. < 그림 10> MNIST Dataset 에뉴로모픽 Sparse coding 알고리즘을적용한학습필터의복원시뮬레이션 < 그림 11> Natural Image 데이터셋에뉴로모픽 Sparse coding 알고리즘을적용한학습필터의복원시뮬레이션 [19] Ⅳ. 뉴로모픽시스템설계를위한하드웨어연구 뉴로모픽시스템설계를위하여뉴런모델회로, memristor 혹은 spintronic 소자등을사용한차세대메모리회로, 각종인터페이스회로등다양한하드웨어연구가진행되고있다. 그중에서도학습방법과직결되는시냅스회로와전체적으로복잡한시스템구조의뉴런학습을위한프로세싱아키텍처연구가중요한부분을차지한다. 본항목에서는시스템설계를위한하드웨어연구로서시냅스회로와아키텍처에대해소개한다. 1. 저전력, 저면적시냅스회로연구다양한뉴로모픽알고리즘개발과더불어알고리즘에효율적인회로를찾는연구는중요한과제이다. 본섹션에서는저전력, 저면적시냅스회로를위해고안된몇가지시냅스회로들을소개한다. a. 전치메모리시냅스회로신경망의각계층은수많은뉴런으로구성되어있고다양한알고리즘을통해무수히많은웨이트를학습하게된다. 즉, 학습도중웨이트는수없이메모리에서읽고쓰여지는과정을거치게된다. 뉴런에대한인덱스어드레싱의하드웨어복잡도를고려할때, 웨이트는일련의규칙성에따라메모리에저장될수있다. 예를들어메모리의행은인풋시냅스의인덱스, 열은아웃풋시냅스의인덱스로지정하여시냅스정보를저장할수있을것이다. 하지만알고리즘을통해웨이트를학습하기위하여메모리에서다시데이터를읽을때, 행과열의방향모두접근할수있는전치 (Transpose) 메모리구조를사용한다면불필요한메모리접근을줄일수있고, 결과적으로전력소모를줄일수있을것이다. 다음 < 그림 12> 는전치메모리와관련된연구로서 SRAM을사용한 Transposable SRAM synapse 회로이다 [20]. 하나의 cell은 8개의트랜지스터를보유하고있고한클럭사이클에행과열방향으로읽고쓰기가가능하다. 전 586 _ The Magazine of the IEIE 26

33 뉴로모픽시스템의설계기술과전망 < 그림 12> Transposable SRAM synapse 회로 [20] 치메모리의기능은 On-Chip-Learning의성능을높이기위한기본적인방법이라고할수있다. b. 스위치커패시터시냅스회로생물학적메커니즘에따라시냅스의학습은짧게는 10 밀리초길게는 1000밀리초에걸쳐이루어진다. 이와같은시간동안에소모되는에너지를줄이기위하여뉴로모픽하드웨어에서는서브쓰레스홀드 (subthreshold) 에서동작하는아날로그회로를사용한연구가진행되었다. 하지만트랜지스터공정의미세화에따른누설전류증가와함께소자불일치 (Device mismatch), 공정변화 (Process variation) 로미세공정에서회로를구현하는데어려움이따른다. 스위치커패시터 (Switched capacitor) 기술은이러한아날로그회로설계의해결책중하나로써, 시간에따라서브쓰레스홀드의신호전류를축적하고, 축전된전하 (Charge) 를기반으로신호를전달한다. 다음 < 그림 13> 은스위치커패시터를사용한하드웨어연구로, 28 nm CMOS 공정에서 switched capacitor 기술과 STDP 알고리즘을적용한시냅스회로이다 [21]. 추가적으로해당회로에서는일반적인 MOSFET 스위치대신에 < 그림 14> 의스위치회로를사용함으로써별다른연산증폭기가없이일반적인스위치보다누설전류를낮추었다. c. 쌍안정시냅스회로일반적으로신경망에서시냅스웨이트가세밀하게조정될수록더높은학습정확도를기대할수있지만긴학습시간동안세밀한웨이트를저장하는것은하드웨어리소스측면에서어려운문제이다. 위의문제를해결하기위한알고리즘으로 SDSP를예로들수있으며관련된회로연구가진행되었다. 쌍안정 (Bi-stable) 회로의기본적인접근법은커패시터를사용하여시냅스에저장되는내부적인아날로그상태변수를가지는것이다. 앞선 SDSP의수식 (15), (16) 과비교할때아날로그상태변수는를의미한다. 하지만실제웨이트는두가지상태인바이너리로존재하며커패시터가방전되더라도가장최근의상태를유지하게된다. < 그림 15> 은 SDSP를적용한쌍안정시냅스회로이다 [17]. 회로의 SET 영역은바이너리시냅스의상태를초기화하며 JUMP 영역에서는상태변수와수식 (17), (18) < 그림 13> Switched capacitor synapse 회로 [21] < 그림 14> 누설전류를낮추기위해고안된스위치회로 [21] < 그림 15> Bi-stable synapse 회로 [17] 27 전자공학회지 _ 587

34 김현성, 당호영, 박종선 에따라웨이트를학습시킨다. 마지막 BIST 영역은래치 (latch) 회로로구성되어있으며 Positive feedback을통해동작하고수식 (19), (20) 에따라학습된웨이트의상태유지에기여한다. 2. 신경시스템에적합한아키텍처연구뉴로모픽의다양한알고리즘및회로를저전력네트워크로발전시키기위하여다양한하드웨어아키텍처가사용되고있다. 하드웨어는설계자가로직을자유롭게변경할수있는 FPAA(Field Programmable Analog Array) 혹은 FPGA(Field Programmable Gate Array) 가일반적인선택지로써아날로그및디지털시스템연구가진행되고있다. 생물학적시스템관점에서증폭, 문턱값그리고적분등의형태가아날로그적표현에가깝지만, 데이터의신뢰성문제가고려되면서, 데이터왜곡이적은디지털방식을아날로그방식과혼용하는혼성모드방식과디지털방식에관한연구가많은부분을차지한다. 하지만연구에사용되는대부분의하드웨어는구조적으로뉴로모픽시스템개발에적합하지않다. 특히하드웨어의적은인풋, 아웃풋포트와기존의폰노이만 (Von Neumann) 구조에따른메모리와프로세서사이의병목 (bottleneck) 현상은복잡한생물학적신경시스템을처리하는데부족함이있다. 더욱이신경망의규모가커져감에따라신경시스템에적합한하드웨어아키텍처의필요성이촉구되고있다. 본항목에서는폰노이만구조를벗어나보다생물학적신경시스템구조로의접근을시도한아날로그와디지털혼성모드방식및디지털방식의뉴로모픽시스템아키텍처일부를소개한다. a. Neurogrid 스탠포드대학의 Brain in Silicon 연구그룹이개발한 Neurogrid 아키텍처는아날로그회로와함께디지털통신프레임워크를사용하는혼성신호시스템이다 [22]. 아날로그서브쓰레스홀드회로를사용하여뉴런의적분이나지수함수의특징을구현하고자하였으며, 약백만개의뉴런과수십억개의시냅스를병렬처리하는실시간뉴런 < 그림 16> Neurogrid 하드웨어아키텍처 [22] < 그림 17> (a) Fully dedicated 시냅스구조 (b) Shard dendrite 시냅스구조 [22] 시스템을개발하였다. < 그림 16> 에보이는것처럼 Neurogrid는구조적으로 16개의뉴런코어를가지고있고, 코어의 Receiver와 Transmitter는 Address Event Representation(AER) 프로토콜통신을기반으로뉴런의인덱스를디코딩하고인코딩하는데사용된다. 인덱스의교차점은총 의뉴런배열로나타낼수있으며, 각뉴런은 4 개의서로다른아날로그시냅스회로를가진 Shared dendrite 구조를가진다. < 그림 17> 에보이는것과같이 Shared dendrite구조는일반적인 Fully dedicated구조에서면적과전력소모측면이개선된형태이다. 개선된구조는코어의내부메모리를사용하여시냅스웨이트를저장하고최소의시냅스를공유하면서, 저항회로를통해인접뉴런을연결한다. 이러한구조는 N개의뉴런에대하여면적과전력소모측면에서 1/N 만큼이득을가져올 588 _ The Magazine of the IEIE 28

35 뉴로모픽시스템의설계기술과전망 수있다. 통신적인측면에서 AER 프로토콜을사용함은곧스파이크의사건기반특성에착안한것이라고할수있다. Neurogrid는 < 그림 16> 의 Binary tree topology를기반으로한멀티캐스팅라우팅을사용함으로써각뉴런코어를연결하고병렬적으로다른프로세스를처리할수있는뇌의양상을모방했다고할수있다. b. TrueNorth IBM에서개발한 TrueNorth는 full custom ASIC 설계이며디지털회로로구성되어있다 [23]. 본아키텍처의특징은하드웨어와소프트웨어의일대일연결이용이한프로그래머블한특성과함께폰노이만아키텍처의메모 리병목문제를해결하는데초점을두었다고할수있다. TrueNorth 아키텍처는부분적으로비동기식, 동기식두가지모두지원하며, 4096개의시냅스신경코어를가지고있고각코어는 256개의시냅스를가진 256개의뉴런으로구성되어있다. 코어의시냅스는 < 그림 18> 과같은크로스바어레이구조로배치되어있고동일한열에존재하는시냅스들은 LIF 모델을기반으로한각뉴런에연관된다. 통신적인측면에서 < 그림 19> 에보이는것처럼각코어를구분하는수직, 수평축의교차점에존재하는라우터는코어간뉴런스파이크의이동을통제한다. 하나의코어에서다수의코어에스파이크전달도가능하며, 코어는개별적으로시스템메모리를가지고시냅스매트릭스와라우팅테이블을구성하고있기때문에병렬분산처리가가능하다. 활성화된코어들은라우팅에의하여각자자신과가까운코어와더많은연결관계를가지게되는데, 이는마치뇌에서산발적으로활성화된임의의뉴런집단이병렬적으로프로세스를처리하는모습과유사하다고할수있다. Ⅴ. 앞으로의연구동향 < 그림 18> TrueNorth 시냅스신경코어구조 [24] < 그림 19> Packet Router 를사용한 TrueNorth 시냅스신경코어간통신 [23] 현재뉴로모픽시스템연구는알고리즘과하드웨어관점에서다방면으로접근하려는시도가계속되고있다. 알고리즘측면에서 STDP와같은뉴로모픽알고리즘은생물학적메커니즘을기반으로비스파이크알고리즘에비해단순한학습방법을수행한다. 기존딥러닝에비해서지도및비지도학습의능력까지구현할수있다는장점이있지만, 아직까지학습정확도면에서는기존딥러닝이우수한것으로보인다. 따라서신경가소성메커니즘을따르는스파이킹알고리즘과기존비스파이크딥러닝에서높은정확도를보이는 CNN 등의알고리즘을접목시키는연구가계속될것으로보인다. 동시에깊어지는신경망에서발생할수있는학습의한계를개선하기위해 SDSP와같이보다신경메커니즘에근접한알고리즘연구가필요할것이다. 하지만다양한생물학적요소가관여될수록뉴로모픽시스템은더욱복 29 전자공학회지 _ 589

36 김현성, 당호영, 박종선 잡해지고많은하드웨어리소스가요구될것으로판단된다. 따라서 Bi-stable synapse 회로와같이알고리즘에적합한회로연구가병행되어야할것이다. 추가적으로본논문에서는다루지않았지만 Memristor, Spintronic devices 등의차세대메모리를활용하는다양한인터페이스회로연구또한뉴로모픽시스템연구에추진력을불러일으킬것으로보인다. 아직까지뉴로모픽시스템의연구가학습능력향상에집중되어있지만, 아키텍처의연구또한중요한부분을차지한다. 특히시스템네트워크측면에서폰노이만의메모리병목문제가크게부각되고있고, 생물학적뇌의병렬프로세싱을모방하기에기존폰노이만구조는적합하지않다. 따라서기존구조의탈피를위해서보다정확한뇌의시스템을탐구하고, 네트워크알고리즘과아키텍처를개발하는연구가계속되어야할것이다. 뉴로모픽시스템을설계하기위해서는다양한분야의학문의융합이필요하다. 생물학적학습의원리와뉴로사이언스의계산적이해를접목시켜야하고, 공학적으로구현하기위한알고리즘과시스템등의다양한공학지식의발전이필요하다. 인간의뇌의구조적비밀을탐구하는노력이계속되는만큼공학적연구도지속적인발전을거듭할것이며, 향후뉴로모픽공학분야에서저전력, 고집적 On-Chip-Learning 시스템의등장을기대해본다. 참고문헌 [1] W. M. K. Wulfram Gerstner, Spiking neuron models, Phys. Rev. E - Stat. Nonlinear, Soft Matter Phys., vol. 66, no. 4, p. 564, [2] M. J. Tovee, E. T. Rolls, A. Treves, and R. P. Bellis, Information encoding and the responses of single neurons in the primate temporal visual cortex., J. Neurophysiol., vol. 70, no. 2, pp , [3] B. Widrow and M. A. Lehr, 30 Years of Adaptive Neural Networks: Perceptron, Madaline, and Backpropagation, Proc. IEEE, vol. 78, no. 9, pp , [4] B. Widrow and S. D. Stearns, Adaptive Signal Processing. Upper Saddle River, NJ, USA: Prentice-Hall, Inc., [5] O. JJ, Principles of neurodynamics. perceptrons and the theory of brain mechanisms., Arch. Gen. Psychiatry, vol. 7, no. 3, pp , Sep [6] T. P. Lillicrap, D. Cownden, D. B. Tweed, and C. J. Akerman, Random synaptic feedback weights support error backpropagation for deep learning, Nat. Commun., vol. 7, [7] I. Hubara, D. Soudry, and R. El Yaniv, Binarized Neural Networks, no. Nips, pp. 1 9, [8] H. Mostafa, B. Pedroni, S. Sheik, and G. Cauwenberghs, Hardware-efficient on-line learning through pipelined truncated-error backpropagation in binary-state networks, Front. Neurosci., vol. 11, no. SEP, [9] J. H. Lee, T. Delbruck, and M. Pfeiffer, Training deep spiking neural networks using backpropagation, Front. Neurosci., vol. 10, no. NOV, [10] S. K. Esser and J. V Arthur, Backpropagation for Energy- Efficient Neuromorphic Computing, Adv. Neural Inf. Process. Syst. 28 (NIPS 2015), pp. 1 9, [11] L. F. Abbott and S. B. Nelson, Synaptic plasticity: Taming the beast, Nat. Neurosci., vol. 3, no. 11s, pp , [12] H. Markram, W. Gerstner, and P. J. Sjöström, Spike-timingdependent plasticity: A comprehensive overview, Front. Synaptic Neurosci., vol. 4, no. JULY, pp , [13] R. C. Froemke and Y. Dan, Spike-timing-dependent synaptic modification induced by natural spike trains, Nature, vol. 416, no. 6879, pp , [14] P. U. Diehl and M. Cook, Unsupervised learning of digit recognition using spike-timing-dependent plasticity, Front. Comput. Neurosci., vol. 9, no. August, pp. 1 9, [15] S. R. Kheradpisheh, M. Ganjtabesh, S. J. Thorpe, and T. Masquelier, STDP-based spiking deep convolutional neural networks for object recognition, Neural Networks, vol. 99, pp , [16] S. Fusi, M. Annunziato, D. Badoni, A. Salamon, and D. J. Amit, Spike-driven synaptic plasticity: theory, simulation, 590 _ The Magazine of the IEIE 30

37 뉴로모픽시스템의설계기술과전망 VLSI implementation., Neural Comput., vol. 12, no. 10, pp , [17] N. Qiao et al., A reconfigurable on-line learning spiking neuromorphic processor comprising 256 neurons and 128K synapses, Front. Neurosci., vol. 9, no. APR, pp. 1 17, [18] B. A. Olshausen and D. J. Field, Emergence of simplecell receptive field properties by learning a sparse code for natural images, Nature, vol. 381, no pp , [19] J. Zylberberg, J. T. Murphy, and M. R. DeWeese, A sparse coding model with synaptically local plasticity and spiking neurons can account for the diverse shapes of V1 simple cell receptive fields, PLoS Comput. Biol., vol. 7, no. 10, [20] J. S. Seo et al., A 45nm CMOS neuromorphic chip with a scalable architecture for learning in networks of spiking neurons, Proc. Cust. Integr. Circuits Conf., pp. 2 5, [21] M. Noack et al., Switched-capacitor realization of presynaptic short-term-plasticity and stop-learning synapses in 28 nm CMOS, Front. Neurosci., vol. 9, no. FEB, pp. 1 14, [22] B. V Benjamin et al., Neurogrid: A Mixed-Analog-Digital Multichip System for Large-Scale Neural Simulations, Proc. IEEE, vol. 102, no. 5, pp , [23] P. A. Merolla et al., A million spiking-neuron integrated circuit with a scalable communication network and interface, Science (80-. )., vol. 345, no. 6197, pp , [24] P. Merolla, J. Arthur, F. Akopyan, N. Imam, R. Manohar, and D. S. Modha, A digital neurosynaptic core using embedded crossbar memory with 45pJ per spike in 45nm, Proc. Cust. Integr. Circuits Conf., pp. 1 4, 김현성 2018 년 2 월경희대학교전자공학학사 2018 년 3 월 ~ 현재고려대학교전기전자공학석사과정 < 관심분야 > 저전력 SoC 설계, 저전력스파이킹뉴럴네트워크프로세서설계 당호영 2012 년 2 년고려대학교전기전자전파공학학사 2012 년 3 월 ~ 현재고려대학교전기전자전파공학석박통합과정 < 관심분야 > 오류정정부호, 저전력 SoC 설계, 무선전력송신, 저전력스파이킹뉴럴네트워크프로세서설계 박종선 1998 년 2 월고려대학교전자공학과학사 2000 년 8 월 Purdue Univ. School of ECE 석사 2005 년 12 월 Purdue Univ. School of ECE 박사 2005 년 5 월 ~2008 년 2 월미국 Marvell Semiconductor, Staff Design Engineer 2008 년 3 월 ~ 현재고려대학교전기전자전파공학부조교수, 부교수, 교수 < 관심분야 > 신뢰성강한저전력통신및디지털신호처리프로세서설계, 저전력임베디드메모리, 저전력뉴럴네트워크프로세서설계 31 전자공학회지 _ 591

38 특집 멤리스터소자를활용한뉴로모픽동향연구 멤리스터소자를활용한 뉴로모픽동향연구 Ⅰ. 서론 유호영광운대학교지능정보임베디드SW공학과김영민광운대학교컴퓨터정보공학부 1971년인텔사에서최초로 4비트 CPU가출시된이래로무어의법칙에따라컴퓨터의성능은급격하게발전해왔다. 하지만 2010년이후로발열과터널링현상으로인해과학자들은 2년마다 2배라는무어의법칙을지키지못하였고하나의칩에여러개의 CPU 집적한멀티코어프로세서로컴퓨터의성능을향상시키고있다. 최근구글에서개발된인공지능 AI 알파고는바둑의수많은경우의수를처리하기위해 1,000 개가넘는 CPU, 200개가까운그래픽카드장치, 100만개이상의메모리를사용했다. 알파고처럼점점증가하는방대한데이터를처리하기위해반도체수만늘리는현재시스템에서는전력공급문제도생기는데, 현재증가추세로볼때오는 2040년에는필요전력을확보를위해 1억개의화력발전소가필요하다는예측도나오고있다 [1]. 데이터를처리하기위해막대한전력이소모되는이유는현재의컴퓨팅시스템이가진폰노이만구조때문이고, 성능을높이기위해집적한많은양의 CPU와메모리가데이터를처리하기위해서로간에통신과정에서병목현상이발생함에따라많은전력이소모되는것이다. 때문에현재가장이슈가되고있는영상처리, 자율주행시스템, AI 등많은연산을단시간에처리해야하는기술들을적용하기위해서기존의컴퓨팅시스템방식은완전히탈피해야한다. 최근에이러한문제를해결하기위해가장주목받고있는방식은인간의뇌에서영감을얻은인공신경망 (Artificial Neural Network) 이다. 인간의뇌는컴퓨터와달리방대한데이터를처리하더라도전력을거의소모하지않는데, 그이유는인간의뇌는수백억개의뉴런과시냅스를잇는복잡한연결구조가병렬로이루어졌기때문이다. 특히이시냅스는뇌에서사용되지않을때수시로연결을 ON/OFF 시키기때 592 _ The Magazine of the IEIE 32

39 멤리스터소자를활용한뉴로모픽동향연구 문에전력을최소화하는데가장큰역할을한다. 인공신경망은현재디지털시스템으로높은정확성을가지게구현할수있지만인공신경망은대량의데이터처리를요구함에따라디지털로구현시칩면적이증가하고, 속도가느리며전력소비가매우크다는단점이있다. 이러한단점을보안하기위해서는인공신경망의아날로그구현은필수적이다. 인공신경망의아날로그시냅스를구현하기위해저항, 커패시터, 트랜지스터를기본소자로사용하는방식들이제시되었지만정적, 부정확성등의문제로많은어려움을겪고있다. 본논문에서는이전전류의방향에따라저항의크기를수시로바꿔데이터를저장하고처리하는특성을가진멤리스터 (Memristor) 소자를활용한뉴로모픽연구개발동향을소개하고자한다. Ⅱ. 뉴로모픽 Modha s team의과학자들은고양이수준의뇌를컴퓨터로구현하기위해 147,456개의 CPU와 144TB의메모리를사용하고 1.4MW의전력을소모하는시스템을개발했다 [2]. 고양이보다지능이훨씬높은인간의뇌를구현하기위해서는이시스템보다 1,000배빠른속도와전력이요구되지만인간의뇌는이보다많은양의연산을처리함에도불구하고 10W의정도의전력만사용하며매우빠르다. 때문에인간의뇌를모방하는연산법들이많이연구되었으며최근가장주목받는것이인공신경망 (Artificial Neural Network) 이다. 인공신경망은인간의 뇌에서영감을얻은소프트웨어학습알고리즘으로알고리즘을이용해데이터를분석하여결과를출력하고, 분석한결과를가지고학습하며, 학습한내용을가지고컴퓨터스스로판단이나예측을한다. 그런데인공신경망은 < 그림 1(a)> 처럼구조가아니라복잡한소프트웨어로코딩되어있어방대한데이터를처리하기위해서는고사양의슈퍼컴퓨터들이요구되기때문에일반인들과기업이사용하기에는매우어려운현실이다 [3]. 이러한문제점을해결하기위해인간의뇌를하드웨어적으로구현하여병렬처리를가능하도록하는뉴로모픽이가장좋은대안으로선택되었고, 뉴로모픽은 Neuro + morphic의합성어로인간의뇌의뉴런을하드웨어로구현함을말한다. 뉴로모픽은 1980년대후반캘리포니아공대의 Carver Mead가제안한개념으로, 트랜지스터의 subthreshold영에서의물리적현상이시냅스의동작과비슷하여뇌의구조와기능을전자적인회로로구현할수있다는아이디어이다 [4-5]. Ⅲ. 멤리스터 인간의뇌는뉴런이라고불리는신경세포로구성되어있으며, < 그림 1(b)> 처럼세포와축삭 (Axon), 수상돌기 (Dendrite) 라는가지들로구성되어있다. 세포들은가지들이뻗어나와서로연결이되는데가지와가지를이어주어신호를주고받게해주는부위를시냅스라하고시냅스는글루타민산염, 도파민이라는물질교환을통해스위치를키고끄는것처럼필요에따라신경세포를자유롭게연결하기도하고끊을수도있다 [6]. 또한시냅스는스파 < 그림 1> 신경세포의계층구조 (a), 전형적인신경세포 (b) [6] 33 전자공학회지 _ 593

40 유호영, 김영민 이크에따른자극의횟수에따라전류가증가및감소되는특성으로자극을기억하는메모리기능도할수있다. 이러한뉴로모픽의특성을하드웨어로구현할때시스템규모가크면클수록시냅스의강도를표현하기위한대량의메모리용량이요구되기때문에칩면적상승이라는문제가생긴다. 때문에많은과학자들은시냅스를단일소자로구현하여문제점을해결하고자하는노력을하였고, 시냅스의특성을하드웨어로구현하기위한단일소자선택의조건은시냅스의자극횟수에따라전류를조절할수있어야하며, 그전류의값을유지하는비휘발성소자여야한다. 최근이러한특성을가지는대표적인소자로멤리스터가제시됐다 [7]. 1971년 Leon chua에의해이론적으로멤리스터가공개되기전까지는저항, 커패시터, 인덕터이 3가지만이수동소자로이야기됐다. < 그림 2> 처럼 Leon chua 는전하와자기유도와의관계가비선형적관계를보이는제 4의소자가존재할것으로예측을하였고, 2008년 Strukow은실험을통해소자에통과한전류량의따라저항값이가변하는특성의소자를멤리스터로정의했다. < 그림 2> 4개의기본소자상관관계 [8] Strukow은멤리스터를 < 그림 3> 와같이 2단자수동소자로나타내었고, 멤리스터라고불리는수동소자양단에외부전압을인가하게되면도핑된도펀트가드리프트되면서두사이에경계가이동하게된다 [8]. 이러한원리로멤리스터는전류의양을조절하고, 중간에전압이끊어져도두사이에경계는유지됨으로써과거의통과한전류의양을기억하는비휘발성메모리로사용될수있다. HP사는정보를저항값으로저장하는멤리스터의비휘발성특성을이용해기존의플래시메모리, SSD, DRAM의기억소자를멤리스터로대체한다면칩면적과에너지효율부분에서크게효과를얻을것이고 2018년도에는상용화가가능할것으로발표했다 [9-10]. Ⅳ. 멤리스터를활용한뉴로모픽 Strukov는 < 그림 4> 에나타난것처럼멤리스터양단에전압이인가되는빈도수의따라전류의양이점점커지는이러한특성은스파이크자극에따라전류의양을조절하는시냅스와매우비슷하다고발표했다 [8]. 때문에시냅스와비슷한특성을가지는멤리스터를집적회로의포함시키는것만으로회로의기능은크게확장될수있고특히뉴로모픽을아날로그로구현할때비휘발성저장장치와관련된주요문제가해결되는장점으로많은과학자들은멤리스터소자를활용한뉴로모픽시스템에많은노력을기울이고있다. 뉴로모픽은디지털시스템으로도꽤높은수준으로구현될수있지만넓은면적, 느린속도, 고전력의이유로아날로그회로를이용한뉴로모픽구현이불가피하다. 2011년 H. Kim은 [1, -1] 의가중치를가질수있고 < 그림 3> 단순화된멤리스터 [8] < 그림 4> 반복되는신호에따른멤리스터의전류량변화 [8] 594 _ The Magazine of the IEIE 34

41 멤리스터소자를활용한뉴로모픽동향연구 아날로그곱셈기의역할을하는멤리스터브릿지 [11] 회로를이용한시냅스하드웨어구현아키텍처를소개했다 [12]. 그리고전자회로특성상다층신경망에서자주사용되는 Backpropagation이어려운이유로 Chip-in-theloop [13] 를통한학습방법도같이소개했다. 제안된방법은 < 그림 6> 처럼프로세스를구성하고호스트컴퓨터에서학습한뒤나온가중치를아날로그회로로입력시켜순방향계산을아날로그회로에서수행한다. 비휘발성인특성을가지는멤리스터특성상업데이트된가중치를다시받아올필요없이하드웨어시냅스에서직접적용이될수있기때문에논문에제시된하드웨어학습방법은호스트- 인터페이스간의통신오버헤드를절반으로감소시키며가중치판독을위한부가적인회로가필요없기때문에회로설계가간단해지고, 칩의영역을줄일수있다. [14] 에서는 100nm 100nm의영역을갖는나노스케일멤리스터에연결된 2개의 CMOS 기반하이브리드기법을소개했다. < 그림 5> 에개략적으로나타낸크로스 < 그림 5> CMOS 뉴런과멤리스터시냅스의개략도 [14] 바하드웨어구조는생물학적인시스템과유사한연결성과밀도를제공할수있으며 Pre-neuron 층에있는모든 CMOS Neuron 은시냅스가중치를가지는 Postneuron층에모든 Neuron과연결됨을보여준다. 특히본논문에서는 Hessian 학습을위한중요한시냅스규칙인 STDP가제시된하이브리드회로에서달성될수있음을증명했다. [15] 는 [14] 에서소개된하이브리드멤리스터크로스바 CMOS 시스템이미래의메모리및로직애플리케이션에주요한후보지만저장요소를우회하는기생전류때문에 Array가정상적으로동작할수없음을밝히고새로운프로그래밍기법을소개했다. < 그림 7> 처럼소개된측정다이어그램은외부의다이오드와멤리스터자체의고유한비선형 I-V 특성을활용해우회하는기생전류를차단하여병렬전류경로를제거해최대 10개의서로다른레벨을멤리스터에저장할수있음을보여준다. 또한각크로스포인트에서트랜지스터와다이오드등외부소자를필요로하지않아 < 그림 7> 과같이 Array 접근법을가능하게하고 < 그림 5> 처럼하부 CMOS 회로위에직접집적할수있다. 추가적으로크로스 Array의데이터저장기능을향상시키기위한디코더같은주변기기또한같이소개했다. [16] 에서는기존의연구된모든 2D 뉴로모픽집적회로의한계를극복하기위한 3D 뉴로모픽통합회로를소개했다. 기존의공개된모든 2D 뉴로모픽은연결되는뉴런의개수마다연결밀도가증가하기때문에대규모처리에 < 그림 6> 제안된 Chip-in-the-loop 학습 [12] < 그림 7> 크로스바 array 에서다단계저장을가능하게하는측정다이어그램. 병렬전류경로는외부의다이오드와멤리스터의고유한전류정류특성으로인해차단된다 [15] 35 전자공학회지 _ 595

42 유호영, 김영민 < 표 1> 트렌지스터레벨의 3D 뉴로모픽 [16] TrueNorth [17] HICANN Chip [18] Neurons 1,048, Synapses 256 millions 113,636 Area 430mm 2 50mm 2 Neuron Density / mm 2 10 / mm 2 Ratio of Synapses to Neurons 로모픽구현으로집적도를높이고전력소비를많이감소시켰지만생물학적인인간의뇌의학습을완벽하게구현하기에는매우부족한현실이다. 또한전자회로특성상 Backpropagation을하드웨어로구현하기란쉽지않아디지털아날로그등의융합방식이필수적으로연구되어야한다. < 그림 8> 트렌지스터레벨의 3D 뉴로모픽 [16] 는굉장히비효율적이다. < 표 1> 에서보는것처럼대표적인 2D 뉴로모픽 TrueNorth [17] 와 HICANN [18] 은신경세포에서시냅스로연결되는수가각 244개와 222개뿐인데, 이러한낮은삽입연결은뉴로모픽의최대장점이라고할수있는대량병렬처리를감소시키기때문에본논문에서는 MIV(Monolithic Inter-Tier Via) 기술 [19] 을적용한수직나노스케일 3D 뉴로모픽을소개했다. < 그림 8> 처럼 3D 뉴로모픽의뉴런과시냅스는 MIV 기술을사용해 3차원적으로쌓아제작됨으로배선길이를줄여배선밀도를증가시킬수있고, 향상된확장성과 50% 의전력소비감소, 기존칩면적의 35% 최소화, 멤리스터의특성을이용해비휘발성메모리로사용될수있다는많은장점들이있다. 또한이러한기술들은현재개발된기술을적용하여쉽게접근할수있기때문에무어의법칙을좀더유지할수있는솔루션이다. Ⅴ. 결론 멤리스터는이전의전류값을저항의크기를변화시켜데이터를저장하는특성을가진비휘발성소자로신세대메모리와인공신경망을하드웨어로구현한뉴로모픽분야에서각광받고있다. 현재나노스케일공정, 3D 뉴 참고문헌 [1] 빅데이터와인공지능은얼마나에너지를쓸까?, e2news.com/news/articleview.html?idxno= [2] S. Adee, Cat-Brain Fever, IEEE Spectrum, pp , [3] G. W. Choi 뉴로모픽! 인공지능의새로운생태계를만든다, Vacuum Magazine, pp , [4] C. Mead, Neuromorphic electronic systems, Proc. IEEE, vol. 78, no. 10, pp , 1990 [5] G. Indiveri, et al., Neuromorphic silicon neuron circuits, Frontiers in Neuroscience, vol. 5, no. 73, 2011 [6] 1000억개뉴런, 100조개시냅스 이들은기억에서무슨일할까, general/ html#csidx626eb99b76c63a2b28879 a9d4a general/ html [7] L. O. Chua, Memristor - the missing circuit element, IEEE Trans. Circuit Theory, vol. 18, no. 5, pp , [8] D. B. Strukov, et al., The missing memristor found, nature, vol. 453, pp , [9] HP to replace flash and SSD in 2013, electronicsweekly.com/news/products/memory/ief2011-hpto-replace-flash-and-ssd-in [10] HP 100TB Memristor drives by if you re lucky, admits tech titan, hp_memristor_2018/ [11] H. S. Kim, et al., Memristor Bridge Synapses, in Proc. IEEE, vol. 100, no. 6, pp , [12] H. S. Kim, et al., Memristor Bridge Synapse-Based Neural Network and Its Learning, in Proc. IEEE, vol. 23, no. 9, pp , _ The Magazine of the IEIE 36

43 멤리스터소자를활용한뉴로모픽동향연구 [13] B. Erkmen, et al., VLSI Implementation of General Purposed Conic Section Function Neural Network, 5th International Conference on Electrical and Electronics Engineering, vol.2, pp , 2007 [14] S. H. Jo, et al., Nanoscale memristor device as synapse in Neuromorphic systems, NanoLett, vol. 10, pp , [15] K. H. Kim, et al., A functional hybrid memristor crossbararray/cmos system for data storage and neuromorphic applications, Nano Lett. 12, pp , [16] Z. Zhou, et al., Memristor-based 3D neuromorphic computing system and its application to associative memory learning, in 2017 IEEE 17th International Conference on Nanotechnology (IEEE-NANO), pp , [17] F. Akopyan, et al., True North: Design and Tool Flow of a 65 mw 1 Million Neuron Programmable Neurosynaptic Chip, Ieee Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, pp , [18] F. Walter, et al., Neuromorphic implementations of neurobiological learning algorithms for spiking neural networks, Neural Networks, vol. 72, pp , [19] M. M. S. Aly, et al., Energy-Efficient Abundant-Data Computing: The N3XT 1000X, Computer, pp , 유호영 2018 년 2 월신한대학교 IT 융합부전자공학전공학사 2018 년 3 월 ~ 현재광운대학교지능정보임베디드 SW 공학과석사과정 < 관심분야 > SoC 설계및설계자동화 (CAD), 임베디드시스템설계, 저전력 SoC 설계 김영민 1999 년 8 월연세대학교공과대학전자공학과학사 2003 년 4 월미시건대학교 EECS 석사 2007 년 12 월미시건대학교 EECS 박사 1999 년 8 월 ~2000 년 10 월삼성전기연구원 2007 년 10 월 ~2009 년 7 월미국 Qualcomm 연구원 2009 년 8 월 ~2015 년 2 월 UNIST 조교수 2015 년 3 월 ~ 현재광운대학교컴퓨터정보공학부부교수 < 관심분야 > SoC 설계및설계자동화 (CAD), 임베디드시스템설계, 차세대반도체소자모델링및분석, 저전력및고성능설계방법론 37 전자공학회지 _ 597

44 특집 확률컴퓨팅기반딥뉴럴넷 확률컴퓨팅기반딥뉴럴넷 Ⅰ. 서론 딥러닝 (deep learning) 은딥뉴럴넷 (deep neural- net) 과같이다 수의 1) 계층으로구성된모델을사용함으로써기계학습 (machine learning) 의성능 2) 을높이는방법을말한다 [1]. 딥러닝은최근에인공지능 (AI) 을급부상하게만든핵심기술이며, 대표적인예로 2012년도에이미지분류챌린지에서 1위를차지한 AlexNet [4] 은이제우리가전문가의직관에의한알고리즘설계의패러다임에서, 많은데이터를이용한학습의패러다임으로이동했음을의미한다. 그후로딥러닝은영상, 음성, 언어번역, 텍스트분석, 게임, 자율주행등다양한분야에서활발히응용되고있다. 딥뉴럴넷의동작은가중치파라미터들에의해서결정되는데, 이가중치파라미터들의값을결정하는과정을학습이라고부른다. 딥뉴럴넷의학습은많은연산량을요구하는작업으로서, 학습성능을높이기위해서는많은데이터가필수적인데, 이는학습의계산복잡도를증가시키는또하나의요인이된다. 바로이러한고성능컴퓨팅및고용량데이터에대한의존성이, 뉴럴네트워크가과거수십년동안연구되어왔음에도불구하고비교적최근에와서야폭발적인성장을이룰수있게된배경이다. 이러한높은계산복잡도는, 딥뉴럴넷을제한된자원만을사용하여구현해야하는경우에, 다시문제가될수있다. 오늘날의 AI 응용들 이종은 UNIST 전기전자컴퓨터공학부 1) 딥뉴럴넷이전의기계학습모델들은대부분 2 개또는많아야 3 개의계층 (layer) 으로구성되어있었으나 [1], 딥뉴럴넷은최소 4 개이상의계층으로구성되는경향이있으며, GoogleNet [2] 이나 ResNet [3] 과같이 100 개이상의계층을가지는경우도드물지않다. 2) 본논문에서학습성능이라고하면학습에따른인식률을의미한다. 빠르기의의미로는성능대신속도라는용어를사용한다. 598 _ The Magazine of the IEIE 38

45 확률컴퓨팅기반딥뉴럴넷 ( 예 : 모바일음성인식, 스마트스피커 ) 은원격서버를사용함으로써이문제를피해가고있지만, 자율주행과같이빠른추론 (inference) 속도가필요한경우나안정적인네트워크를사용할수없는경우, 또는프라이버시나보안이중요한이슈가되는경우와같이, 네트워크를거치지않는인공지능기술은훨씬더다양한응용을가능하게하다. 따라서이러한응용을위한저비용, 저전력딥러닝하드웨어또는 SoC 설계는매우중요한이슈이다. 저비용, 저전력딥러닝하드웨어설계는기존의하드웨어-소프트웨어통합설계이상의새로운문제를제기한다. 우선딥뉴럴넷은그기능이학습에의해서정의되기때문에알고리즘을매우많이바꾸더라도 예를들어, 뉴런의개수, 뉴런사이의연결및심지어는은닉층의개수등을변경해도 학습을통해서원래의기능과정확도를회복할수있는경우가흔히있다. 따라서기존의하드웨어-소프트웨어통합설계에서와는달리알고리즘및학습방법의통합탐색이매우중요한역할을한다. 또한, 이런알고리즘의유연성및태스크수준에서도어느정도의오차를허용하는경우가많은데, 이러한점들은하드웨어설계에있어서도근사계산 (approximate computing) 을통한비용과에너지효율성향상의여지를많이남겨놓는다. 본논문에서는근사계산의일종으로볼수있는확률컴퓨팅 (stochastic computing) 을간단히소개하고, 이에기반을둔딥뉴럴넷하드웨어설계기술을소개한다. 근사계산의측면에서확률컴퓨팅의가장중요한장점은실행시간에에너지와정밀도의변경이오버헤드없이가능하다는것으로서, 입력데이터나실행환경등에따라서정밀도와에너지를자유롭게조절함으로써, 동일한하드웨어라도필요에따라저전력또는고성능등의자유로운활용이가능하는점이다. Ⅱ. 배경지식 집적회로가출현하기전인 1960년대부터폰노이만등은소자의불안정성을극복하기위한대안의하나로확률컴퓨팅을고려하였다 [5]. 확률컴퓨팅의기본적인아이 < 그림 1> AND 게이트를이용한확률컴퓨팅곱셈 < 그림 2> 확률컴퓨팅 (SC) 기반뉴런의설계디어는비트 1의빈도수로값을표현하는것으로서, 예를들어길이가 8인비트스트림 은 1이 4번발생하므로 1의확률은 0.5이며, 따라서이비트스트림이표현하는값은 0.5라고보는것이다. 따라서확률컴퓨팅에서는모든비트가동일한가중치를가지며, 하나의값에대한여러가지표현이존재한다. 모든비트가동일한가중치를갖는다는점은확률컴퓨팅이기본적으로각종고장및노이즈에강인한특성을갖게해주며, 또한같은이유로확률컴퓨팅을일진수표현 (unary representation) 이라고부르기도한다. 확률컴퓨팅에서비트스트림이표현하는값은인코딩방식에따라달라지는데, 가장직관적인방식은비트스트림 X의신호확률 (signal probability), 즉 X에서 1이발생하는확률을비트스트림 X가표현하는값 x와같다고보는것이다. 즉, x = P(X) = P(X=1). 이경우, < 그림 1> 과같이두비트스트림 X, Y를 AND 게이트로연결하면, 출력비트스트림 Z가표현하는값 z는 Z의신호확률로부터계산할수있다. 즉, z = P(Z) = P(X Y) 인데, 만일 X 와 Y가서로독립이거나최소한확률적인상관관계가없다면 (uncorrelated), P(X Y) = P(X) P(Y) = xy와같이쓸수있기때문에, < 그림 1> 의 AND 게이트는확률컴퓨팅곱셈연산을수행할수있음을알수있다. 인코딩방식을달리하면 [0, 1] 구간이아닌다른구간의값도확률컴퓨팅에서사용가능하다. 예를들어, 39 전자공학회지 _ 599

46 이종은 [-1, 1] 구간의값을확률컴퓨팅으로표현하기위해서는비트스트림 X의신호확률과해당비트스트림이표현하는실수값 x 사이의매핑을 x = 2 P(X) - 1과같이정의할수있다. 이와같이, 여러가지인코딩방식이가능한데, 그중전자와같이 [0, 1] 구간을사용하는방식을 unipolar 인코딩, 후자와같이 [-1, 1] 구간을사용하는방식을 bipolar 인코딩이라고부른다. Bipolar 인코딩에서는 AND 게이트가아닌 XNOR 게이트가곱셈연산을수행한다. 덧셈은곱셈과달리값의범위를변화시키기때문에약간의어려움이있지만, MUX나 OR 게이트를사용하는방법등여러가지방법이있다 [5-6]. 그외에확률컴퓨팅상에서의다양한연산회로및디지털과확률컴퓨팅사이의변환회로에대해서는 [5] 에잘정리되어있다. 이와같이확률컴퓨팅은오류에대한높은강인성과덧셈, 곱셈등의연산을아주간단한회로로구현할수있다는장점이있으나, 기본적으로확률비트스트림을사용하기때문에무작위성에의한오차가존재할수있다. 또한입출력이디지털신호로주어지는경우에는디지털신호를확률컴퓨팅신호로변환하는오버헤드가존재한다. Ⅲ. 확률컴퓨팅기반딥뉴럴넷 확률컴퓨팅딥뉴럴넷의기본아이디어는 < 그림 2> 와같이뉴런의주요연산들을확률컴퓨팅을사용하여수행하는것이다. 확률컴퓨팅연산소자들이면적은적게차지하지만긴비트스트림을처리해야하기때문에여러사이클이걸릴수있다. 특히연산의정확도를높이기위해서비트스트림을길이를높일수록확률컴퓨팅의효율성은낮아지게된다. 따라서응용의정확도를떨어뜨리지않으면서구현의효율성을높이기위한방향으로연구가진행되어왔다. 딥뉴럴넷응용의정확도는대개인식률로측정된다. 뉴럴넷의응용은인식이나분류외에도여러가지가있으나, 정확도를계량화하기에는이미지분류 (image classification) 같은응용이가장편리하기때문에, 확률컴퓨팅기반뉴럴넷연구도이미지분류응용을가장많 이사용해왔다. 추후절에서는여러가지확률컴퓨팅뉴럴넷의인식률을비교하기위하여, 부동소수점연산버전의뉴럴넷대비 인식률저하 를사용한다. 인식률은 0 과 1사이의수이며 ( 클수록좋음 ), 인식률저하는 Rfloat RSC 로정의되며작을수록좋다 (Rfloat은부동소수점버전의인식률, RSC는확률컴퓨팅버전의인식률 ). 부동소수점버전의인식률은데이터셋과학습모델등여러가지요건에따라달라지지만, MNIST 필기체 3) 숫자인식의경우에는 0.98 이상, CIFAR-10 데이터셋 4) 의경우에는 0.8 정도등이며, 인식률차이는대개 0.01 이상만되어도중요한것으로간주된다. 1. 초기의확률컴퓨팅뉴럴넷연구딥러닝이전에도확률컴퓨팅은저비용장점때문에뉴럴넷구현에적용되었다. 예를들면, [7] 에서는노이즈가포함된기계판독용숫자및특수부호를포함한 13가지종류의 7 9 폰트이미지 (MICR) 를판독하는작은신경회로망의설계에확률컴퓨팅을적용하고, 시뮬레이션을통하여부동소수점과비슷한결과를얻을수있음을보였다. 동일한응용을사용한보다최근의연구 [8] 에서는비트스트림길이를변화시켜가면서인식률을비교하였는데, 확률컴퓨팅을은닉뉴런에만적용한경우에는비트스트림의길이가 1K (=1024) 비트정도되어야부동소수점정도의인식률을얻을수있는반면, 모든뉴런에적용했을때에는 40K 비트정도의길이가필요하다는결과를발표하였다. 전체뉴럴넷을겨우 8만개정도의논리요소를갖는 FPGA 상에서구현할수있다는점에서확률컴퓨팅이매력적이긴하지만, 효율성관점에서는상당히불리한방식인것으로평가된다. 2. 확률컴퓨팅기반딥뉴럴넷 DBN(Deep Belief Network) 은가장일찍알려진딥뉴럴넷중의하나로서, [9] 에서는 200개의은닉뉴런을갖는 DBN을확률컴퓨팅기법으로구현하고, MNIST 필기체숫자인식에적용하였다. 인식률은부동소수점버전의 3) 4) _ The Magazine of the IEIE 40

47 확률컴퓨팅기반딥뉴럴넷 (a) (b) < 그림 3> (a) XNOR 곱셈기의입력에따른평균오차분포 (1024비트의경우 ), (b) 뉴럴넷가중치파라미터값분포 ( 검은색점선 ) 및확률컴퓨팅으로 0을곱했을경우발생하는오차 ( 붉은색실선 ) 인식률이 0.942인데에비해, 1024 비트비트스트림에서 0.818로서 0.124의인식률저하를보여주었다. 부동소수점버전의다소낮은인식률과는별개로, 확률컴퓨팅버전의인식률저하도상당히큰것으로보인다. 3. 확률컴퓨팅딥뉴럴넷의인식률제고이후연구자들은앞에서언급한인식률저하문제에주목하여확률컴퓨팅의정확도개선을위한기법들을제안하였다. 그중하나는확률컴퓨팅곱셈기의정확도가입력데이터에따라크게좌우된다는발견 [10] 에기초한것인데, 구체적으로살펴보면 bipolar 인코딩에서 XNOR 게이트를이용한곱셈의평균오차와입력값사이의관계에 < 그림 3(a)> 와같이일정한패턴이있음을알수있다. 즉입력값이 1 또는 1에가까운경우에는곱셈의평균오차가최소화되는데반해입력값이 0 근처에있으면오차가최대화되는것이다. 한편딥뉴럴넷에서곱셈기에들어오는입력의분포를보면, 가중치파라미터는 < 그림 3(b)> 와같이대체로 0을평균으로하는정규분포를따르는것을볼수있다. 따라서이를확률컴퓨팅으로계산할경우에오차또한상당하게된다. 이문제를해결하기위하여 [10] 에서는 0 근처의값을갖는가중치파라미터들을아예없앰으로써계산량을줄일뿐만아니라확률컴퓨팅의오차를줄이는방법을제안하였다. 이방법의효과를극대화하기위해서는 < 그림 4(a)> 와같이 0 근처의값을갖는가중치파라미터들을 0 으로만들면서재학습을시키는절차가필요하다. < 그림 4(b)> 는확률컴퓨팅으로설계된뉴런의구조를보여준다. (a) (b) < 그림 4> (a) 확률컴퓨팅곱셈의오차를줄이기위한학습절차 (b) 확률컴퓨팅기반뉴런의구조 [10] 그외에도확률컴퓨팅의제한된데이터범위를최대한활용하기위한스케일링기법등을통하여 [10] 에서는 MNIST 데이터셋에대하여 1024비트비트스트림사용시, 부동소수점수준의인식률 (0.9759) 을얻을수있음을보였다. 4. 확률컴퓨팅의유연한정밀도활용정확도향상외에도 [10] 의저자들은확률컴퓨팅기반딥뉴럴넷을위한중요한기법들을제안하였는데, 그중하나가연산의정밀도 / 정확도를실시간에변경하는것이다. 이는확률컴퓨팅고유의장점으로서, 이점을활용하면뉴럴넷의인식결과가어느정도정확도로결정이되는대로추론을바로중단함으로써에너지를절약할수있다. MNIST 데이터셋에대하여실험해본결과, [10] 에서는 < 그림 5> 에서볼수있는것과같이실제로대부분의입력에대해서 256 비트이하면충분하다는것을확인할수있었다. 이렇게뉴럴넷의추론을일찍끝내는 EDT(Early Decision Termination) 기법을사용함으로써, 해당연구자들은인식률을거의떨어뜨리지않으면서도사이클수를 EDT를적용하지않는경우대비 1/3~1/2 정도로낮출수있음을보였다 [10-11]. [10] 의저자들은확률컴퓨팅의에너지효율성을기존디지털구현과비교하기위해 200개의입력을갖는뉴런을설계및합성하였고 (TSMC 45nm 공정 ), 비교대상으로고정소수점구현방식도구현하였다. 확률컴퓨팅방법으로 512비트에러율이 0.031로충분히사용할만한수 41 전자공학회지 _ 601

48 이종은 (a) (b) < 그림 5> 입력이미지에따라서 32 스텝까지가기전에올바른추론을끝낼수있는경우가많이있다. 위의그래프는올바른추론결과를위해필요한스텝수와해당입력이미지의비율의관계를보여준다 [10] 준이었기때문에, 확률컴퓨팅은 512 비트를사용하고 5) 고정소수점방식은 9 비트를사용하였다. 비교결과, 에너지소모량에있어서는, 확률컴퓨팅 의계산회로만비교하면고정소수점방식보다확률컴퓨팅방식이더적지만, 입력값과가중치파라미터들을고정소수점방식에서확률컴퓨팅방식으로변환하는 SNG (Stochastic Number Generation) 오버헤드로인해고정소수점방식에비해서 2~3배까지증가할수있다. 또한에너지측면에서중요한요소는 EDT로서, EDT를활용할경우그렇지않은경우에비해서 30% 정도의에너지감소가있음을보고하였다. 5. 디지털입출력뉴런을위한새로운곱셈기지금까지살펴본확률컴퓨팅딥뉴럴넷은모든뉴런을하나의칩또는 FPGA에구현하는접근방식을따른다. 따라서비록확률컴퓨팅연산소자가작기는하지만, 이방식은임의의큰딥뉴럴넷을지원하는것도불가능하고또한설계후딥뉴럴넷의구조변경은지원하지못한다는문제가있다. 최근의연구 [12-13] 에서는이러한문제들을해결하기위하여뉴런의입출력은기존디지털을사용하고내부계산만확률컴퓨팅으로하는접근방식을채용하였다. 이방식의또다른장점은뉴런의입출력이기존디지털과완전히동일한방식이기때문에, 검증이용이하고또한기존디지털딥뉴럴넷의메모리구조를그대로사용할수있다는것이다. 하지만이방식의가장큰단점은각뉴런마다입력을 5) 확률컴퓨팅에서는 512 비트처리의효율성을높이기위하여 32 비트내지 64 비트를동시에처리하는비트병렬성을활용하였다. (c) < 그림 6> (a) 기존확률컴퓨팅곱셈방법, (b) AND 게이트에들어가는비트스트림의순서를변경하여도결과는동일, (c) 16 비트대신 16w 비트만계산함으로써효율성을제고한곱셈기법 (x = 6/16, w = 10/16, x w = 3.75/16) 디지털에서확률비트스트림으로변환을해야한다는점인데, 앞에서살펴본것처럼 SNG 오버헤드가상당히크기때문에 [13] 에서는이 SNG 오버헤드를줄이기위한새로운곱셈기법을제안하였다. 이기법은 SNG 오버헤드를줄일뿐만아니라곱셈에걸리는시간을대폭줄일수있으며, 또한기존확률컴퓨팅에비해서훨씬정확한결과를낼수있다. < 그림 6> 은새로운확률컴퓨팅곱셈기법의동작원리를보여준다. < 그림 6(a)> 는기존곱셈방식이고 unipolar 인코딩을사용하기때문에 AND 게이트가곱셈을수행한다. 새로운곱셈방식은 (c) 인데, 이를이해하기위해먼저 (b) 의경우를생각해보자. < 그림 6(b)> 는 (a) 에서두입력비트스트림의비트순서만바꾸어준것인데, 구체적으로는두번째입력인 w의비트스트림에서모든 1이먼저 AND 게이트에들어간후에 0이사용되도록한것이고동시에대응하는 x의비트들도함께순서를바꾼것이다. 입력 x와 w의비트들은함께순서를바꾸었기때문에출력의비트스트림은 (a) 의경우와순서만다를뿐 1과 0의개수는동일하다. 따라서출력비트스트림을디지털로변환한결과를보면 (a) 와 (b) 는항상동일하게된다. 이제 (b) 의계산방식을잘보면 w의비트스트림은 w개의 1을출력하고나머지는 0으로채워놓은것이라는것을알수있다. 그리고 w 비트가 0인경우에는 AND의결과도항상 0이기때문에굳이연산을할필요가없다. 따라서 (c) 와같이 w의 SNG를다운카운터로대체하여도결과에는차이가없게된다. 하지만이렇게함으로써 SNG 602 _ The Magazine of the IEIE 42

49 확률컴퓨팅기반딥뉴럴넷 를하나줄이고, 비트스트림의길이보다훨씬빨리연산을종료할수있게되었다는장점이있다. 또한곱셈결과도보다정확해졌는데, 기존의확률컴퓨팅에서는곱셈정확도가두입력비트스트림의상관관계 (correlation) 에영향을받지만, 새로운곱셈기는그렇지않기때문이다. 이에서한발더나아가 [13] 에서는 w값에상관없이최적의곱셈결과를얻을수있으면서도복잡도가낮은 SNG 알고리즘을제안하였고, 이를통해기존확률컴퓨팅곱셈에비해서매우정확한곱셈기를얻을수있었다 (1024비트에서표준편차를기존대비약 1/14배수준으로감소 ). 새로운확률컴퓨팅곱셈기를딥뉴럴넷에적용하기위해서는두가지의이슈를해결해야한다. 하나는 1 비트씩처리하는비트시리얼구현은매우비효율적이기때문에 [12] 비트병렬성을지원해야한다는것이며, 두번째는딥뉴럴넷에서처럼벡터 / 행렬단위의연산을지원하기위해서는새로운곱셈기의가변사이클수문제를해결해야한다. 첫번째이슈는기본적으로입력 x의 SNG 생성기를비트병렬화하는것으로서, 비트병렬화버전이약간복잡한로직을사용하긴하지만사이클수를훨씬줄일수있기때문에큰딥뉴럴넷에서는보다더효율적이게된다. 두번째로, 가변사이클수문제는여러개의뉴런사이에가중치파라미터를공유함으로써해결가능하다. 이러한기법들을통하여 [13] 은 MNIST 뿐만아니라 CIFAR-10에대해서도확률컴퓨팅기법이기존디지털고정소수점구현과동일한정확도를얻으면서도 areadelay product나에너지측면에서는더효율적일수있음을보였다. 6. 대규모딥뉴럴넷으로의확장지금까지살펴본연구는확률컴퓨팅기반딥뉴럴넷을 MNIST나 CIFAR-10 등비교적작은데이터셋에적용한것이다. 이에비해 AlexNet [4], VGG-net [14], GoogleNet [2] 등은훨씬크고어려운 ImageNet 데이터셋을사용하고, 네트워크의크기나계산량도훨씬더높다. [15] 에서는앞에서소개한새로운곱셈기를더개량하고새로운최적화기법을도입함으로써 AlexNet이나 GoogleNet 등큰딥뉴럴넷도정확도나효율성을잃지않으면서확률컴퓨팅방식으로구현할수있음을보였다. 한편딥뉴럴넷하드웨어의중요한이슈중의하나는어떻게하나의하드웨어로서로다른뉴럴넷들을효과적으로지원할수있는가인데, 이것이문제가되는이유는뉴럴넷마다요구정밀도가다를수있기때문이다. 예를들어, MNIST는고정소수점기준 5비트정도면충분하지만 CIFAR-10 은 8~9 비트정밀도가필요하다 [13]. 뿐만아니라, 하나의뉴럴넷도각레이어에따라서요구정밀도가다를수있다. 이러한점에서확률컴퓨팅의유연한정밀도는큰장점이될수있다. [15] 는새로운확률컴퓨팅곱셈기법 [13] 을확장하여실행시간에정밀도를변경할수있게하였다. 이러한동적정밀도조절 (dynamic precision scaling) 을활용한효율성향상은마치동적전압조절 (dynamic voltage scaling) 이응용의워크로드에따라회로의동작전압을바꿈으로써에너지효율성을향상시키는것과같은원리이다. [15] 에서동적정밀도조절하드웨어는제안하였지만, 레이어별최적의정밀도를찾는방법등동적정밀도조절은아직많은연구가필요한분야이다. 7. 비교및정리지금까지발표된주요확률컴퓨팅뉴럴넷들을 < 표 1> 에비교하였다. 지면제한으로모든확률컴퓨팅뉴럴넷을담지는못하였고, 뉴럴넷들간의비교도사용된응용및인식률등으로만한정하였다. 이표에서한가지중요한부분은뉴럴넷아키텍처부분인데, 크게보면모든뉴런을별개의하드웨어를사용하여완전히병렬적으로구현하는 fully parallel 아키텍처가있고, 그와반대로일정크기의뉴런어레이를구현하고 ( 이를 tile이라고칭함 ), 이 tile을반복실행시킴으로써임의의크기의뉴럴넷을다실행할수있게하는아키텍처가존재한다. 후자의방법은 tile의실행결과를메모리에저장했다가다른 tile 실행시에이전 tile의결과를메모리에서다시가져오는등메모리접근오버헤드가존재할수있으나지원가능한뉴럴넷의크기에제한이없다는장점을가진다. 전자의방법은설계시에뉴럴넷의구조를확정해야한다는 43 전자공학회지 _ 603

50 이종은 < 표 1> 확률컴퓨팅뉴럴넷들의인식률비교 아키텍처 출처 데이터셋 ( 뉴럴넷 ), 인식률저하, 비트스트림길이 비고 [8] MICR 폰트이미지 : (10K bit) Radial basis function 뉴럴넷 [9] MNIST : (2^9b), (2^10b), (2^11b) Deep belief network (DBN) 기반 Fully parallel [10] MNIST : (2^10b) Fully-connected 레이어만존재 [11] MNIST : < 0.01 (2^10b) CIFAR-10 CNN : < 0.01 (2^10b) Max-pooling 사용 [6] MNIST : (2^8b), (2^9b) Max-pooling 사용 [12] MNIST : < 0.01 (2^6b) Convolution layer만가속 Tile 기반 [13] [15] MNIST : < 0.01 (2^5b) CIFAR-10 CNN : < 0.01 (2^9b) MNIST : (2^5b) AlexNet (top-5) : ( 레이어별로다름, 2^8~2^10b) VGG_S (top-5) : ( 레이어별로다름, 2^9~2^10b) GoogleNet (top-5) : (2^13b) Convolution layer 만가속, 새로운 SC 곱셈기 [13] 사용 Convolution layer 만가속, 새로운 SC 곱셈기 [13] 을개량하여사용 단점이있지만, 주어진뉴럴넷에대해서는보다더에너지효율적인하드웨어를생성할수있는방법일수있다. Tile 기반아키텍처의경우에는잦은메모리접근때문에뉴런또는 tile의입출력을대개기존디지털방식으로한다. 그렇지않을경우, 확률컴퓨팅비트스트림으로메모리에저장하는것은매우비효율적이기때문이다. 이점은또한뉴럴넷의일부는확률컴퓨팅으로구현하고다른일부는기존디지털방식으로구현하는하이브리드방식을가능케한다. 예를들면, 연산량이많은 convolution 레이어는확률컴퓨팅으로구현하고최종분류를담당하는 fully connected 레이어는기존디지털방식으로구현함으로써인식률과효율성을모두높이는것이가능하다 [12]. 을쉽게변경하게함으로써, 상황에따른다양한활용이가능하다는장점이있다. 한편, 확률컴퓨팅등의근사계산기법들은 ReRAM (Resistive RAM) 등과같이안정성이낮은신종메모리기반의연산을이용한딥뉴럴넷을설계하는데에필요한이론적인틀을제공할수도있는데, 이는더많은연구가필요한부분이다. 한편, 현재까지의딥뉴럴넷하드웨어연구는대부분 AlexNet, VGG-net 등몇가지매우잘알려진뉴럴넷에만치중하고있는데, 딥러닝분야의발전속도가매우빠른만큼 MobileNet이나 ShuffleNet 등모바일환경을타겟으로개발된보다효율적인뉴럴넷들도사용할필요가있고, 또이들새로운뉴럴넷을잘가속화할수있는하드웨어에대한연구도매우필요하다. Ⅳ. 결론및향후연구 딥뉴럴넷이점점더많은응용에적용됨에따라서하드웨어딥뉴럴넷에대한관심과수요도더욱증가하고있다. 딥뉴럴넷의하드웨어설계는기존의하드웨어-소프트웨어통합설계보다훨씬더알고리즘에대한이해와알고리즘및학습방법에대한최적화를필요로한다는점에서분명한차이가있다고할수있다. 본논문에서는확률컴퓨팅기반의딥뉴럴넷연구동향을살펴보았는데, 확률컴퓨팅은하드웨어오류에보다강인하다는장점외에도실행시간에에너지와결과품질 참고문헌 [1] Y. Bengio, Learning Deep Architectures for AI, Foundations and Trends in Machine Learning, 2(1):1-127, Now Publishers Inc., Hanover, MA, USA, Jauary [2] C. Szegedy et al., Going Deeper with Convolutions, in Computer Vision and Pattern Recognition (CVPR), [3] K. He et al., Deep Residual Learning for Image Recognition, in Computer Vision and Pattern Recognition (CVPR), [4] A. Krizhevsky et al., ImageNet Classification with Deep Convolutional Neural Networks, in Advances in Neural Information Processing Systems (NIPS), _ The Magazine of the IEIE 44

51 확률컴퓨팅기반딥뉴럴넷 [5] B. Brown and H. Card, Stochastic neural computation. I. Computational elements, in IEEE Transactions on Computers, 50(9): , [6] A. Ren et al., SC-DCNN: Highly-scalable deep convolutional neural network using stochastic computing, in International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), [7] B. Brown and H. Card, Stochastic neural computation. II. Soft competitive learning, in IEEE Transactions on Computers, 50(9): , [8] Y. Ji et al., A hardware Implementation of a radial basis function neural network using stochastic logic, in Design, Automation & Test in Europe Conference & Exhibition (DATE), [9] K. Sanni et al., FPGA implementation of a Deep Belief Network architecture for character recognition using stochastic computation, in Annual Conference on Information Sciences and Systems (CISS), [10] K. Kim et al., Dynamic Energy-accuracy Trade-off Using Stochastic Computing in Deep Neural Networks, in Design Automation Conference (DAC), [11] J. Yu et al., Accurate and Efficient Stochastic Computing Hardware for Convolutional Neural Networks, in IEEE International Conference on Computer Design (ICCD), [12] H. Sim et al., Scalable stochastic-computing accelerator for convolutional neural networks, in Asia and South Pacific Design Automation Conference (ASP-DAC), [13] H. Sim et al., A New Stochastic Computing Multiplier with Application to Deep Convolutional Neural Networks, in Design Automation Conference (DAC), [14] K. Simonyan and A. Zisserman, Very Deep Convolutional Networks for Large-Scale Image Recognition, arxiv technical report, [15] H. Sim et al., DPS: Dynamic Precision Scaling for Stochastic Computing-based Deep Neural Networks, in Design Automation Conference (DAC), 이종은 1997 년 2 월서울대학교전기공학부학사 1999 년 2 월서울대학교전기공학부석사 2004 년 2 월서울대학교전기컴퓨터공학부박사 2004 년 1 월 ~2007 년 10 월삼성전자 SoC 연구소책임연구원 2007 년 11 월 ~2009 년 8 월미국아리조나주립대 (ASU) 박사후연구원 2009 년 8 월 ~ 현재 UNIST 교수 ( 현부교수 ) < 관심분야 > Reconfigurable architectures, Compiler, Deep learning accelerators, Stochastic computing 45 전자공학회지 _ 605

52 특집 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 추론전용저항성메모리 기반뉴럴네트워크가속기 하드웨어연구동향 I. 서론 김재준 POSTECH 창의IT융합공학과김형준 POSTECH 창의IT융합공학과김태수 POSTECH 창의IT융합공학과김율화 POSTECH 창의IT융합공학과김진석 POSTECH 창의IT융합공학과 많은양의데이터의학습을통해화상인식, 기계번역, 자율주행등많은분야에서기존인식기술의성능을뛰어넘는결과를보여주는딥러닝 (deep learning) 기술의발전이사회전분야에걸쳐매우높은관심을끌고있다. 현재이루어지고있는딥러닝관련연구는많은경우새로운알고리즘이나뉴럴네트워크구조를개발하여인식률을올리는방향으로노력이기울여지고있다. 반면, 처리하고자하는작업의난이도가높아질수록더많은수의층을갖는깊은뉴럴네트워크 (deep neural network) 가요구되고, 그에따라요구되는메모리의용량과컴퓨팅파워도기하급수적으로늘어가고있다. 한편, 전통적인컴퓨터응용분야들과는달리 deep neural network 을위한연산은많은수의벡터-행렬곱셈 (vector-matrix multiplication) 으로주로이루어져있다. 따라서, 메모리와연산장치사이의통신이빈번이일어나는 Von-Neumann 방식에기반한기존의범용 CPU(central processing unit) 는 deep neural network 계산속도나에너지소모측면에서효율적이지않은한계를보이게된다. 이에따라 CPU 보다훨씬많은수의작은코어 (core) 들을집적하여병렬연산을수행하는 GPU (graphic processing unit) 가각광을받으며딥러닝학습과추론에활발히사용되고있다. 하지만이러한 GPU 역시딥러닝이외에여러용도로쓰이는범용성을가지고있기때문에최근들어서는딥러닝에특화된전용뉴럴네트워크프로세서들에대한관심이높아지고있다. 예전처럼범용과학 / 공학연산이컴퓨팅응용의대부분을차지할때에는이렇게특정응용에특화된전용프로세서의효용이매우제한적일수밖에없었으나점차대규모데이터를기반으로 606 _ The Magazine of the IEIE 46

53 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 인식에관한작업을다루는응용이전체컴퓨팅에서중요한비중을차지하게되면서이러한전용컴퓨팅디바이스의효용은점점더증가할것으로예상된다. Google에서발표한 Tensor Processing Unit (TPU) [1], MIT에서발표한 Eyeriss [2] 등최근발표된뉴럴네트워크프로세싱유닛 (NPU: neural network processing unit) 들은일반적으로많은수의 processing element (PE) 들을 systolic array 형태로배열하여연산의병렬화를극대화하고데이터의효율적인움직임을추구하는특징을가지고있다. 많은양의 vector-matrix multiplication을중심으로이루어진 Deep Neural Network의연산특성탓에전체연산처리속도와에너지소모는 < 그림 1> 에서보이듯이주메모리인 DRAM 과 PE 간의통신에의해많은부분이결정되는경향이있다. 따라서전체적인메모리필요량과통신량을줄이기위해정확도를해치지않는범위에서뉴럴네트워크의시냅스나뉴론의개수를줄이는 Pruning 방법과시냅틱웨이트나 activation 값의비트수를줄이는 Quantization 방법에대한연구가활발히이루어지고있다 [3-6]. 또한 3차원집적기술을이용하여메모리통신대역폭을크게늘린 High Bandwidth DRAM (HBM) 을 GPU 또는 NPU와결합하여 deep neural network 연산속도를증가시키는경향도증가하고있다 [7]. NPU 설계시에는 DRAM에서 PE 로전달된데이터들을가능한많이재사용하여메모리와의통신을줄이는 < 그림 1> 뉴럴네트워크가속기내부에서의데이터이동을위한상대적인에너지소모량비교 [2] 방법에대한연구가활발히진행되고있으며이는특히 convolutional neural network (CNN) 연산에특화된 NPU에서중요성을더한다 [2]. 이렇듯다양한방향에서메모리와프로세싱유닛간의통신에서오는오버헤드를줄이기위한연구가진행되고있는한편, 메모리자체를뉴럴네트워크연산기로사용하여메모리에서데이터를읽어오는오버헤드를아예없애는 in-memory computing에대한관심도높아지고있다. 특히 vector-matrix multiplication을위해여러 clock cycle에걸쳐연산을수행해야하는일반적인뉴럴네트워크가속기구조에비해, 저항성메모리를사용할경우 vector-matrix multiplication 이한번의메모리읽기를통해해결될수있는가능성이있어저항성메모리기반 in-memory computing에대한관심이더해지고있다 [8-9]. 이글에서는현재까지학계에서보고된저항성메모리기반뉴럴네트워크가속기하드웨어의설계원리에대해간략히정리하고구현에있어생기는여러기술적이슈들과그에대한해결방안등을소개하고자한다. Ⅱ. 저항성메모리기반뉴럴네트워크가속기하드웨어개요 < 그림 2-(a)> 에서와같이뉴럴네트워크를구성하는기본연산중많은부분은여러개의입력값과각각의입력과해당출력뉴론을연결하는시냅스웨이트들이서로곱해져그것들의합이하나의출력뉴론의입력으로형성되는 weighted sum의형태로구성된다. 흥미롭게도 < 그림 2-(b)> 에서보듯이그러한 weighted sum은저항성메모리어레이의비트라인하나를읽어내는동작에대응이될수있다. 좀더자세히저항성메모리에서의 weighted sum 계산동작원리를살펴보면다음과같다. 먼저, 각 word line의전압 V i 는뉴럴네트워크에서의입력 X i 에해당한다. 그리고각메모리셀의저항값의역수인전도도 (conductance) 값은뉴럴네트워크에서의시냅틱웨이트 47 전자공학회지 _ 607

54 김재준, 김형준, 김태수, 김율화, 김진석 (a) (b) < 그림 2> 뉴럴네트워크에서의병렬 matrix-vector multiplication을수행하는저항성메모리동작예시 [10] 값에대응한다. 실제 conductance에는최대값과최소값이존재하므로웨이트를 conductance 에대응시킬때에는그값들을고려하여적용한다. 그결과, 뉴럴네트워크안의하나의입력과대응하는웨이트의곱셈값은하나의 word line 전압이대응하는 cell 저항양단에인가되었을때해당 cell을통해흐르는전류의값에대응하게된다. 읽기동작한번에하나의 word line에만전압이인가되는일반적인메모리동작과는달리뉴럴네트워크동작시에는동시에여러개의 word line에전압이인가되는특징이있으며이때하나의 bit line에흐르는전류는각각의 word line 전압값과해당 cell 의 conductance값에따라형성되는전류들의합이된다. 따라서 bit line에흐르는전류는앞서보여진뉴럴네트워크의 weighted sum에대응하게된다. 뉴럴네트워크표현에있어저항성메모리의이러한흥미로운동작원리와메모리와외부프로세서간의통신의필요성을제거할수있다는장점으로인해저항성메모리기반뉴럴네트워크가속기에대한관심이매우높아지고있는반면, 현재까지는대규모어레이에기반한시스템구현에대한연구보다는단위소자나작은규모의단위회로에대한연구가주로이루어져오고있는상황이다. 따라서향후에는대규모뉴럴네트워크를표현하는시스템에대한연구의필요성이커지고있다. 저자들이속한 POSTECH 연구팀역시저항성메모리기반하드웨어에대규모뉴럴네트워크를구현할때생겨날수있는문제점들을파악하고그것을극복하는기술의 연구를진행해오고있다. 다음장에서는저항성메모리기반뉴럴네트워크구현시하드웨어구성요소 ( 소자, 회로, 시스템 ) 의제한조건때문에생겨날수있는문제점중몇가지에대해소개하고그해결책에대해논하고자한다. 참고로, 저항성메모리기반뉴럴네트워크관련연구중가장활발히진행되고있는분야중하나가실제뇌의뉴런이나시냅스동작특성과유사한새로운소자구조나재료개발연구이다. 이경우많은결과들이단위소자레벨의특성에초점을맞춰보고되고있으며이러한방향또한계속해서발전해나갈것으로예상된다. 이글에서는뇌의특성을모방한뉴론이나시냅스소자개발, 또는그것을이용한뉴럴네트워크 ( 예 : spiking neural network) 에대한의논은하지않고역전파 (backpropagation) 을이용한학습에의존하는 deep neural network 에대해서만논의하기로한다. Ⅲ. 저항성메모리기반뉴럴네트워크가속기설계시고려요소 1. 저항성메모리의비선형전류 / 전압특성앞서 < 그림 2> 에서보였듯이저항성메모리기반뉴럴네트워크가속기구조는뉴럴네트워크연산의핵심인 vector-matrix multiplication을여러메모리셀들을통해흐르는전류를합하는동작으로모사할수있다는장점이있다. 한편, 이러한해석에는메모리셀의저항특성이선형이라는가정이깔려있다. 그러나일반적으로실제저항성메모리의전압-전류특성은지수함수에가까운비선형성을갖는경향이있다 (< 그림 3>). 이러한저항의비선형적특성은 vector-matrix multiplication 결과의정확도를떨어트리게된다. < 그림 3> 에서와같이메모리소자가지수함수특성을갖는다고가정했을때, 뉴럴네트워크입력에대응되어메모리셀에인가된입력전압에의해셀을통해흐르는실제전류는원래뉴럴네트워크에서입력과웨이트의곱의값에대응하는전류보다더작은값을갖게된다. 비선형성이클수록의도했던전류와실제전류의차이가점점더커지게된다. 608 _ The Magazine of the IEIE 48

55 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 < 그림 4> 비선형저항에의한오차를보정하기위한역함수발생회로 [10] 예를들어, < 그림 3> 동일한입력전압에대한선형저항과비선형저항의전류출력비교 [10] 이러한현상은소프트웨어적으로학습시켜결정된시 냅틱웨이트값으로표현되는뉴럴네트워크의추론결과가의도한값과다르게나오는결과를야기할수있어가속기칩외부의서버를사용하여학습후저항성메모리기반가속기로추론을하는응용에서정확도하락의문제를야기할수있다. 이에본연구팀은두가지방향에서해당문제를푸는연구를진행하였다 [10-11]. 첫번째방향은저항성메모리의특성을고려한입출력회로를개발하는것이고, 두번째방향은반대로, 그러한비선형성을고려한뉴럴네트워크를개발하는것이다. 다음두장에서는각각의방법에대해설명하기로한다. 2. 비선형전류 / 전압특성을보상하기위한회로설계방법이장에서는저항성메모리의비선형성특성을고려한입출력회로를고안하여전체적인입출력특성을본래뉴럴네트워크가가지는선형특성을가지게하는방법을소개한다 [10]. 앞서언급한대로기존의방법은네트워크의뉴론입력값을입력전압에선형적으로매핑하며, 이경우메모리셀의비선형전류-전압특성에의해연산결과의왜곡이생기게된다. 만약비선형적인소자의전류-전압특성을표현하는수학적모델에서웨이트와입력전압항이서로독립적으로표현될수있다면, 해당전류-전압모델의역함수를입력전압생성에적용하여전체적인입출력특성을선형으로복원할수있게된다. (1) (G: Weight, B: 상수, V: 입력전압 ) 와같이소자의전류-전압특성이표현되는경우 sinh 함수의역함수인 arcsinh 함수를입력전압에미리적용하여메모리셀양단에적용한다면실제비트라인에나오는전류는우리가원래원하던값과같게되고전체시스템의전류-전압특성은식 2에서보이는결과와같이선형이된다. (2) 이러한전류-전압모델의역함수를실제회로로구현하는하나의예로다음과같은설계방법을사용할수있다. < 그림 4> 에서보이듯이전류-전압변환회로구조에서일반적으로사용되는선형피드백저항을메모리셀로대체하면최종출력전압값이우리가가지길원하는전류를생성하는값이되게됨에따라전체시스템의전류-전압특성은선형이되게된다. 이러한회로를사용한다면소자특성의불일치에서오는 variation의영향을제외하고는소프트웨어적으로얻는 vector-matrix multiplication의결과값과일치하는값을얻을수있게된다. MNIST, CiFAR-10, ImageNet 을사용하여 convolutional neural network (CNN) 시뮬레이션을한결과일반적인전류-전압변환회로를사용한경우에비해제안된회로를사용한경우에높은추론정확도를얻을수있음을확인할수있었다 [10]. 49 전자공학회지 _ 609

56 김재준, 김형준, 김태수, 김율화, 김진석 3. 저항성메모리에특화된뉴럴네트워크앞장에서는주어진뉴럴네트워크를비선형저항특성을갖는저항성메모리어레이에매핑하기위해특화된회로를설계하는연구방향에대해서의논하였다. 이렇게주어진뉴럴네트워크에대해회로를최적화하는방법과는반대로주어진하드웨어의조건에맞게뉴럴네트워크자체를재구성하는것도가능하다면실제회로나시스템을설계할때의부담이줄어드는장점이생길수있다. 따라서, 이장에서는본연구팀이고안한저항성메모리의비선형전항특성을고려한뉴럴네트워크구현방법론을소개하고자한다 [11]. 잘알려진바와같이뉴럴네트워크연산에쓰이는일반적인퍼셉트론 (perceptron) 모델은식 (3) 과같이뉴론입력과웨이트들의 weighted sum을사용한다. (3) 관습적으로 weighted sum이 perceptron 모델에사용되어왔으나반드시이러한연산형태를띄어야한다는이론적인근거는분명치않으며, 저자들은이러한연산이범용 CPU나 GPU를사용시단순곱셈과덧셈으로표현되어가장처리가용이하기때문에일반적으로많이사용되는측면이있는것으로생각한다. 이러한생각에근거해우리는 weighted sum 대신에식 (4) 와같이메모리셀의전류-전압특성자체를퍼셉트론의 transfer function으로사용하는새로운모델을제시하였다. (4) 위와같이제안된퍼셉트론모델을사용하여뉴럴네트워크를구성할경우제안된수식역시미분가능하므로기존의뉴럴네트워크와마찬가지로 gradient descent 방법을사용하여학습을진행할수있다. 이렇게학습된웨이트들을저항성메모리기반가속기의시냅스메모리에매핑한경우학습시에이미비선형저항의특성을고려하였기때문에각비트라인에서구해지는출력전압들이앞장에서언급한특수회로없이도이미뉴런입력값과동일한값을가지게되어저항성메모리의비선형전 류 / 전압특성에의한인식률저하를방지하게된다. 제안된뉴럴네트워크를사용시비선형성이심한경우에도추론정확도를유지함을확인할수있었다 [11]. 4. 대규모뉴럴네트워크구현을위한 scalable 저항성메모리기반하드웨어구현앞의논의에서보았듯이특수한소자특성을가지는하드웨어가속기설계시그러한하드웨어특성을고려하여뉴럴네트워크를재설계하는방법이효과적인것을알수있다. 이러한뉴럴네트워크 하드웨어공동설계 (Neural Network-Hardware Co-Design) 방법은비단앞에서보인메모리의비선형전류 / 전압특성에따른인식률저하보정뿐만아니라소프트웨어적으로학습된웨이트가가속기하드웨어에매핑되었을때하드웨어가가지는여러제한조건으로인해오는인식률의저하를최소화하는데유효할것으로기대된다. 이장에서는이렇게뉴럴네트워크-하드웨어공동설계방법론을적용한또하나의연구예에대해서간략히논하고자한다. 저항성메모리기반가속기의경우하나의어레이가처리할수있는입력뉴론의개수는어레이에할당된 word line 개수로제한된다. 하나의어레이가가지는 word line 개수는 1K 개이하로제한되는경우가많고최근사용되고있는뉴럴네트워크들이요구하는입력뉴론의개수는이보다많은경우가있기때문에대규모뉴럴네트워크를표현하기위해서는여러개의메모리어레이를사용하여야만한다. 이때각어레이에서 partial weighted sum을계산하고그값들을어레이외부에서다시합쳐최종 weighted sum 과 activation 값을계산한다면원래뉴럴네트워크의연산값과동일한결과를얻어낼수있게된다. 하지만이경우각어레이에서 activation까지마친결과값을다음어레이의입력뉴론값으로사용하는설계방법에서벗어나게되어뉴럴네트워크의크기에상관없이 scalable 한시스템을만들기가어려워지게된다. 또한, 이렇게 partial sum을계산하는경우각어레이에서 activation까지끝마치는경우보다더높은분해능 (bit resolution) 을갖는아날로그-디지털변환기 (ADC) 610 _ The Magazine of the IEIE 50

57 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 식을사용하여 partial sum을만드는과정을없앨수있다. 이예에서만일기존의구조와같이각의어레이에서 partial sum을만드는경우, 각어레이는 8-bit ADC를출력회로에사용해야하는반면제안하는방식은 4-bit ADC 만을필요로하여, ADC 관련오버헤드가파격적으로줄어들게된다. Ⅳ. 논의및발전방향 < 그림 5> (a) 일반적인 CNN 레이어 (b) 입력뉴론의수를메모리어레이의크기에맞추어재구성한네트워크구성 [12] 가출력회로에필요하게되어전체회로의크기와전력소모에나쁜영향을미치게된다. 이는저항성메모리가속기설계시실제메모리어레이보다입출력회로에쓰이는 ADC 및 DAC 의오버헤드가더크게되는문제점을심화시키데된다. 따라서, 각어레이에서 partial sum을구하지않고대규모뉴럴네트워크를구현할수있는해결책이요구된다. 본연구팀에서는뉴럴네트워크-하드웨어공동설계방법론을적용하여이러한저항성메모리기반뉴럴네트워크가속기의 scalability 문제를해결하는구조를제안하였다 [12]. 제안한방법에서는각어레이에서 partial sum을계산하지않고 activation 까지마친다는것을하드웨어의제한조건으로정하고어레이의크기에맞게입력뉴론의개수를조절한뉴럴네트워크를재구성하여학습을다시수행한다 (< 그림 5>). 이경우본래뉴럴네트워크의정확성에근접한값을복원할수있음을확인하였다. 예를들어 512개의 4-bit 입력뉴론를갖는뉴럴네트워크연산을 128개의 word line을갖는어레이기반가속기에구현하는경우 4-bit activation값을갖는 4개의어레이를사용하여네트워크를나누어처리하고각각의어레이에서나온 activation 값들을다시더하는방 앞에서언급한방법들이저항성메모리기반뉴럴네트워크가속기의설계시문제점중몇가지에대한해결책으로제시되었으나실제응용에쓰이기위해서는아직도많은문제들이해결되어야한다. 먼저, 본글에서언급한문제점들에대한분석은모두칩의외부에서학습을시킨뉴럴네트워크를하드웨어에매핑한후추론작업을수행하는응용에대한내용이다. 이글에서는다루지않았지만온칩학습 (on-chip learning) 을목표로하는경우여러가지다른문제점들이존재하며그것들에대한해결방안을만들어나가는것또한중요한연구방향중에하나이다. 또한많은수의메모리셀을이용한회로이기에공정변이 (process variation) 나환경변화에따른회로특성의변화에둔감한구조를만드는방향또한매우중요하다. 이러한관점에서최근뉴론입력값과웨이트를 1-bit으로만표현하는 binary neural network (BNN) 에대한관심이높아지고있 [13-14]. 아날로그값이아닌디지털값만을사용하는특성으로인해환경변화에상대적으로강인한특성을보일것으로기대되며또한입출력회로역시매우간단하게만들수있어기존구조의 ADC/ DAC 관련오버헤드역시크게줄일수있을것으로기대된다 [15-17]. 향후저항성메모리기반 BNN 하드웨어설계시대용량뉴럴네트워크에서의인식률저하, 그리고실제 scalable한가속기구조설계시생겨나는여러가지이슈에대한해결책에대한지속적인연구가필요할것으로전망된다. 51 전자공학회지 _ 611

58 김재준, 김형준, 김태수, 김율화, 김진석 Ⅴ. 맺음말 이글에서는저항성메모리기반뉴럴네트워크가속기의기본구조및구현시문제점들에대해요약하고본연구팀의연구내용을바탕으로문제점을극복하는몇가지설계방법을소개하였다. 저항성메모리기반뉴럴네트워크하드웨어가이론적으로많은장점을가지고있지만아직까지는시냅스 / 뉴론소자나작은규모의어레이를중심으로한제한적인실험에그치고있는현실이다. 관련분야의도약을위해서는실제저항성메모리하드웨어를사용한실험적인연구와대규모뉴럴네트워크연산을위한 scalable 한특징을가지며공정및환경변화에강인한회로및시스템에대한연구발전이요구된다. 한편, 다른종류의뉴럴네트워크가속기에비해메모리기반가속기의경우메모리설계기술의중요성이훨씬높기때문에메모리분야최선도국인우리나라의연구진에게유리한측면이많이존재한다. 그러한맥락에서국내메모리반도체회사에서큰규모의저항성메모리어레이를만들어학교연구실들에제공할수있다면관련연구의발전에큰밑거름이될것으로기대된다. 참고문헌 [1] N. Jouppi et al. In-Datacenter Performance Analysis of a Tensor Processing Unit, ISCA, 2017 [2] Y.-H. Chen, J. Emer, and V. Sze, Eyeriss: A spatial architecture for energy-efficient dataflow for convolutional neural networks, ISCA, 2016, [3] S. Han, H. Mao, W. Dally, Deep Compression: Compressing Deep Neural Networks with Pruning, Trained Quantization and Huffman Coding, ICLR, [4] D. Lee, D. Ahn, T. Kim, J-J. Kim, Viterbi-based Pruning for Sparse Matrix with Fixed and High Index Compression Ratio, ICLR, [5] I. Hubara et al., Quantized neural networks: Training neural networks with low precision weights and activations, arxiv: , [6] E. Park, D. Kim, S. Yoo, An Energy-Efficient Neural Network Accelerator based on Outlier-Aware Low Precision Computation, ISCA, [7] T. P. Morgan, Nvidia pushes deep learning inference with new pascal GPUs, Next Platform, Tech. Rep., 2016 [8] A. Shafiee, et al., ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars, ISCA, 2016, [9] P. Chi, et al., PRIME: A novel processing n-memory architecture for neural network computation in ReRAM-based main memory, ISCA, 2016, [10] T. Kim, H. Kim, J. Kim, J-J. Kim, Input Voltage Mapping Optimized for Resistive Memory-Based Deep Neural Network Hardware, IEEE Electron Device Letters, 2017 [11] H. Kim, T. Kim, J. Kim, J-J. Kim, Deep Neural Network Optimized to Resistive Memory with Nonlinear Current- Voltage Characteristics, ACM Journal on Emerging Technologies in Computing Systems (JETC), [12] Y. Kim, H. Kim, D. Ahn, J-J. Kim, Input-Splitting of Large Neural Networks for Power-Efficient Accelerator with Resistive Crossbar Memory Array, ISLPED, 2018 [13] M. Courbariaux and Y. Bengio, Binarized neural networks: Training deep neural networks with weights and activations constrained to +1 or [14] M. Rastegari, V. Ordonez, J. Redmon, A. Farhadi, XNOR- Net: ImageNet classification using binary convolutional neural networks, in ECCV, 2016, [15] T. Tang et al., Binary convolutional neural network on RRAM, ASP-DAC, 2017 [16] X. Sun, et al., XNOR-RRAM: A scalable and parallel resistive synaptic architecture for binary neural networks, DATE, 2018 [17] L. Ni et al., An energy-efficient and high throughput bitwise CNN on sneak-path-free digital reram crossbar, ISLPED, _ The Magazine of the IEIE 52

59 추론전용저항성메모리기반뉴럴네트워크가속기하드웨어연구동향 김재준 김율화 1994 년 2 월서울대학교공과대학전자공학과학사 1998 년 2 월서울대학교공과대학전기공학부석사 2004 년 5 월미국퍼듀대학교전기및컴퓨터공학박사 2004 년 5 월 ~2013 년 1 월미국 IBM T. J. Watson 연구소연구원 2013 년 2 월 ~ 현재 POSTECH 교수 2016 년 8 월포항공과대학교창의 IT 융합공학과학사 2016 년 9 월 ~ 현재포항공과대학교창의 IT 융합공학과통합과정 < 관심분야 > 뉴럴네트워크회로및시스템, 딥러닝알고리즘 < 관심분야 > 뉴로모픽 / 뉴럴네트워크회로및시스템, 저전압회로, 하드웨어보안회로유연소자기반회로설계 김진석 김형준 2016 년 2 월 POSTECH 창의 IT 융합공학과학사 2016 년 3 월 ~ 현재 POSTECH 창의 IT 융합공학과석박통합과정 2015 년 2 월포항공과대학교창의 IT 융합공학과학사 2015 년 3 월 ~ 현재포항공과대학교창의 IT 융합공학과통합과정 < 관심분야 > 뉴로모픽시스템, 스파이킹뉴럴네트워크, 온칩러닝 < 관심분야 > 뉴로모픽 / 뉴럴네트워크회로및시스템, 저항성메모리기반뉴럴네트워크가속기설계 김태수 2016 년 2 월 KAIST 전기및전자공학부학사 2016 년 2 월 ~ 현재 POSTECH 창의 IT 융합공학과석박통합과정 < 관심분야 > 뉴로모픽 / 뉴럴네트워크회로및시스템, 저항성메모리기반뉴럴네트워크가속기설계 53 전자공학회지 _ 613

60 특집 엣지디바이스를위한뉴로모픽비동기프로세서의설계 엣지디바이스를위한 뉴로모픽비동기프로세서의 설계 Ⅰ. 서론 이진경대구대학교전자공학과김경기대구대학교전자공학과 사물인터넷 (IoT) 환경하에서빅데이터를사용하여데이터센터와의연결을사용하지않고, 엣지디바이스 (edge device) 에서의인공지능연산이수행되는엣지 AI 컴퓨팅의필요성이대두되고있다. 엣지컴퓨팅 (edge computing) 이란, 기계가각상황에걸맞게판단하고, 그에따른조치를취하는것을말한다. 방식을가리켜엣지컴퓨팅대신포그 (fog, 안개 ) 컴퓨팅으로부르기도한다. 2014년 1월미국의시스코가포그컴퓨팅 (fog computing) 으로엣지컴퓨팅을처음제안했다. 최근에는엣지컴퓨팅을클라우드환경의플랫폼으로보아엣지도포그도아닌클라우드렛 (cloudlet) 이라는표현을쓰기도한다. < 그림 1> 은기존의클라우드컴퓨팅과엣지컴퓨팅구조의차이를보여주고있다 [1]. 네트워크지연이나연결단절상황이발생하여안전과품질에치명적피해가발생할수있는경우 ( 예 : 자율주행차 드론 수술로봇 공장품질관리시스템등 ) 와센서노드에서데이터센터로데이터를보내기에는네트워크트래픽부하가커서네트워크트래픽을감소시켜야하거나기밀성때문에내부정보를외부에반출하기어려운경우 ( 예 : 개인생체 의료정보등 ), 마지막으로네트워크연결이물리적으로불가능해반드시엣지디바이스에서인공지능처리를해야하는경우 ( 예 : 우주탐사 심해탐사 선박등 ) 등에엣지 AI 컴퓨팅이사용되어질수있다. 전문가들은 GPU 활용이확대되면서엣지컴퓨팅기술발전이가속화될것으로기대하고있다 [2]. 그러나최근의인공지능은발전은 GPU 컴퓨팅의발전에힘입은바가크나, GPU의많은발열과전력소모로인해인공지능의에너지효율성개선의필요성이제기되고있다. 따라서저전력을요구하는사물인터넷환경에서는기존폰노이만 (Von Neumann) 방식의아키텍처컴 614 _ The Magazine of the IEIE 54

61 엣지디바이스를위한뉴로모픽비동기프로세서의설계 퓨팅의한계를극복하기위해서인간의뇌를모방한뉴로모픽 (neuromorphic) 기술이미래반도체기술의핵심으로떠오르고있다. < 그림 2> 와같이뉴로모픽컴퓨팅의구조는일반적으로인간의뇌의뉴런과시냅스의복잡한그물망형태의연결을모방하여망 (Mesh) 구조의형태로뉴런과시냅스가연결되어구성된다. 뉴런은트랜지스터회로로구성될수있고, 시냅스는시냅스모방소자로대체하여구성된다. 폰노이만구조와는달리병렬구조로연결되어연산을수행하기때문에가장큰문제점인병목현상을해결할수있다 [3-4]. 여기서뉴런은막전위 (membrane potential) 가문턱전압 (threshold voltage) 보다높으면스파이크신호를생성하고, 시냅스를통해전뉴런 (pre-neuron) 과후뉴런 (post-neuron) 이신호를주고받게된다. 이과정을통해시냅스의가중치가변화하게되는데이를학습이라고하고, 학습종류에는 STDP, SRDP, SDSP등이있다. 시냅스모방소자 (synaptic device) 는 RRAM(Resistive Random Access Memory), 멤리스터 (Memristor), PCRAM (Phase Change RAM) 등이있으며, 이소자들의특징은소자를통과하는전하량에따라저항값이변하고전원공급이끊기거나다음입력이들어오기전까지가장최근의저항값을기억하는비휘발성메모리의특성을가지고있다 [3-4]. 이런시냅스모방소자는비동기적회로동작을하며, 병렬적정보처리를통해기존폰노이만컴퓨팅방식에비해 1/10000 수준의에너지로동작하는초고효율하드웨어소자로서데이터를종합적으로인지, 처리하면서도초저전력으로구동이가능하다. 뇌신경네트워크의기본 (a) 생물학적뉴론 (neuron) 과스냅스 (synapse) 구조 (b) 실리콘뉴론 (neuron) 동작원리 (c) 망구조형태의실리콘뉴런과스냅스구조 (d) 전체실리콘뉴로모픽구조 < 그림 1> 클라우드컴퓨팅과엣지컴퓨팅구조 ( 자료 : 삼성뉴스룸 ( ) < 그림 2> 생물학적뇌의뉴런과스냅스의복잡한그물망형태의연결을모방한망구조형태의실리콘뉴런과스냅스구조 55 전자공학회지 _ 615

62 이진경, 김경기 < 그림 3> 인텔 Loihi 칩단위인시냅스의특성을모방한시냅스에서전달되는신호는이진법신호가아닌자극에따라변화하는비동기적인아날로그신호로최소한의에너지로도많은정보를처리한다. 자극에의해구동되는뉴로모픽컴퓨팅의비동기적인동작을위해서지금까지많은뉴로모픽칩들이완전한비동기동작을목표로연구가진행되어오고있다. 특히, 최근에발표된인텔 (Intel) 의인공지능 (AI) 가속화를위한최초의자체학습형 (Self-Learning) 칩인로이히 (Loihi) 뉴로모픽칩은완전히비동기적으로동작하는뉴로모픽다중코어메쉬 (mesh) 를보유하며, 각뉴런은수천개의다른뉴런과통신을할수있다. < 그림 3> 의로이히칩은인텔의 14nm공정기술과재프로그래밍이가능한 FPGA 타입으로제작, 128개의코어로구성되어있으며각각의코어는 1,024개의인공신경세포인뉴런을가지고있다 1). [5] 본고에서는기존여러문헌들에서기술한뉴로모픽동작원리보다는엣지디바이스를위한저전력뉴로모픽칩에적용이가능한비동기설계방식과실제설계에대해서기술하고자한다. 다. 최근에나노공정기술의발전과더불어클럭속도가증가함에따라서배선의지연 (wire delay) 과클럭스큐 (skew) 가중요한이슈가되고있다. 그리고고성능의논리회로에서만족할수있는스큐를가지기위해서는큰사이즈의클럭드라이버 (driver) 가반드시필요하게되고, 이런클럭드라이버는전력을증가시키는원인이될뿐만아니라, 나노미터급공정에서는공정, 전압, 온도변이 (PVT variation) 와노화효과 (aging effect) 의한속도및전력의변화가매우커서동기회로의오류가발생할수있는확률이매우높아지고있다. 특히, 저전력을위한저전압동기회로에서야기되는지수적으로증가하는전파지연과 PVT 변이, 노화효과에의한속도및전력의변화는동기회로의동작을불가능하게해서, 동기회로를신뢰할수없게만들고있다. 동기회로에서발생하는이런여러문제들로인해서비동기설계에다시관심이집중되고있다 [6-7]. 비동기식회로설계 (asynchronous circuit design) 는 < 그림 4> 의동기식회로설계 (synchronous circuit design) 에서사용되는전역클럭 (global clock) 이없이 < 그림 5> 와같이주변모듈사이에 Ack 신호와 Req신호를사용한핸드쉐이킹 (handshaking) 프로토콜에의해데이터신호를동기화하고, 전송하는회로설계방식이다. 비동기식회로설계방식은 1940년대에개발된기술이지만, 설계자체가어렵고면적증가등의단점으로제한된분야에서이용되었다. 하지만, 비동기회로는첫째, 집적회로를구현하기위한공정기술의발달로트랜지스터의크기가작아지면서작은면적에대량의트랜지스터를집적할수있게되었다. 이것으로기존의비동기회로설계면적증가로인 Ⅱ. 비동기설계방식 뉴로모픽비동기프로세서를설명하기에앞서서기존비동기회로의개념에대해서간단히기술하고자한 < 그림 4> 동기식회로설계 1) 이는 13 만개의신경세포및 1 억 3,000 만개의시냅스를가지는갑각류랍스터의뇌보다복잡하지만 800 억개의신경세포를가진인간보다는다소뒤처진다. < 그림 5> 비동기식회로설계 616 _ The Magazine of the IEIE 56

63 엣지디바이스를위한뉴로모픽비동기프로세서의설계 한문제들을해결할수있다. 둘째, 최악의경우 (worstcase) 형태의동기식회로설계방식에비해서비동기회로설계방식은모듈사이의다양한종료시점으로인한평균의경우 (average-case) 형태의동작을수행한다. 이것은이론적으로높은성능의특성을가지며, 실제로고속의파이프라인회로에적용되고있다. 셋째, 비동기식회로설계시에전역클럭이없으므로이것으로인한문제점들, 예를들면, 클럭스큐문제, 다중클럭도메인설계문제등에서이점을갖는다. 넷째, 모바일기기의증가로저전력소모에대한요구가증대되었다. 특히전력소모가문제가되는동기식설계방법에비해비동기회로설계는회로의동작이필요할때만구동되므로낮은전력을소비한다. 이러한이유때문에저전력소모를목적으로특정애플리케이션에적용될수있다. 전통적인설계기술분류는크게하드웨어와소프트웨어기술로분류할수있다. 하드웨어기술은하드웨어의규모에따라기본회로 (Circuit 수준 ), 기능블록및 FSM(Finite State Machine), 파이프라인방식, GALS(Globally Asynchronous Locally Synchronous), 시스템아키텍처, 테스트회로등으로분류할수있으며소프트웨어는크게설계기술과검증기술로분류할수있다. 특히, GALS는비동기핸드쉐이킹방식을사용하여동기식블록 ( 혹은시스템 ) 을연결하는방식으로, 전역및다중클록에대한문제점을제거함과동시에저전력을구현할수있는방식이다. 데이터처리방식은데이터 와제어방식과의관계를정의하는것으로, 데이터및제어신호의지연시간에대한가정에따라데이터를처리하는방식이다. GALS은 < 그림 6> 과같이비동기설계를기반으로하는완전한비동기식라우터및링크를가지는 NoC(network-on-chip) 에서각모듈을비동기적으로연결하기위해서사용이될수있다. 이와같은전통적분류외에비동기설계기술의고유한기술들의분류로서는데이터처리방식, 데이터표현방식, 핸드쉐이킹방식, 기타분류로나누어진다. 한편, 비동기식회로는지연모델에따라서도구분될수있는데회로의소자, 도선의지연유무에따라 bounded delay, speed independent, delay insensitive, quasi-delay insensitive 형태가존재한다. < 표 1> 은지연모델을요약하고있다. BD 모델은소자, wire 모두유한한지연을가정하고있다. 입력이인가된후회로가안정화되고출력이발생할때까지다른입력이발생할수없다는기본적인모드수행을기본으로한다. 이는동기식회로특성과유사하고실제로이기반모델의비동기식회로설계시에는최대지연시간을고려해야한다. DI 모델은소자, wire 모두알려지지않는지연을가정하므로어떠한시간가정도필요없다. 따라서가장신뢰할수있는가정이지만, 실제적으로적용되는회로는극히제한적이다. SI 모델은소자의지연시간만알려지지않는것으로가정한것이고, QDI는 SI와유사하나한 wire 에서분기되는 wire 에대해서는같은지연시간을갖는것으로가정하는 isochronic fork 개념이내포되어있다. BD 모델은소자, 도선모두유한한지연을가정하고있다. 동기식회로특성과유사하게입력이인가된후회로가안정화되고출력이발생할때까지다른입력이발생할수없다는것을기본으로한다. 즉, 최악의경우 (worst case) 의성능분 < 표 1> 소자, 도선에따른지연모델 < 그림 6> GALS and Network-on-chip 지연모델 소자 도선 Bounded Delay(BD) bounded bounded Delay Insensitive(DI) unbounded unbounded Quasi Delay Insensitive (QDI) unbounded isochronic fork Speed Independent (SI) unbounded zero delay 57 전자공학회지 _ 617

64 이진경, 김경기 석을요구한다는점에서기존의동기식방식의성능분석과흡사하며, 이는실제로 DB기반모델의비동기회로를설계에서확장된타이밍분석을요구하게된다. 반면, QDI 모델은소자, 도선모두알려지지않은지연을가정하므로어떠한시간가정도필요하지않다. 따라서타이밍분석이요구되지않고, 최악의경우의성능이아니라평균적인경우의성능을가져온다. 따라서, BD 모델에비해서공정, 전압, 온도등의변이에영향을받지않으며, 설계한회로를재사용하는것이매우용이하게된다. 이런점들에의해서 QDI 모델이최근활발히연구되어지고있으며, 그중에서도 Null convention logic(ncl) 에관한연구들이많이되고있다. NCL은타이밍제한만을용이하게하는다른 QDI 모델들과는다르게타이밍과전력을모두고려한최적화된설계를할수있으며, 27개의기본적인셀만으로비동기회로를구현할수있는장점을가지고있다. 뿐만아니라, 게이트레벨과회로레벨에서의회로구현이가능하고, 기존의검증툴을그대로사용할수있는이점이있다 [8-10]. 이제다음장에서는몇가지의뉴로모픽비동기프로세서들의예를들어그구체적인구현방법에대해살펴보고자한다. Ⅲ. 뉴로모픽비동기프로세서의구현 1. 인텔사의 Loihi 인텔 14nm 공정기술로제작된 Loihi 테스트칩은뇌의기본매커니즘을모방한디지털회로가포함되어있어기계학습보다빠르고효율적으로수행하면서더낮은컴퓨팅성능을필요로한다. 총 13만개의뉴런과 1억 3,300만개의시냅스의처리능력을제공하며, 완전한비동기적뉴로모픽매니코어메쉬 (mesh) 에구축되어각뉴런은수천개의다른뉴런과통신할수있다. 개별뉴로모픽코어는동작중에네트워크매개변수를조정하고지도학습, 자율학습, 강화학습및기타학습패러다임을지원하기위한프로그래밍가능한학습엔진이포함되어있다. 또한경로계획 (path planning), 제 약만족 (constraint satisfaction), 스파스코딩 (sparse coding), 사전학습 (dictionary learning), 동적패턴학습및적용 (dynamic pattern learning and adaptation) 등을포함한문제에대해효율이높은여러알고리즘의개발및테스트를할수있다 [5]. Loihi 칩의구조는메쉬 (mesh) 구조를가지는 128 개의뉴로모픽 (neuromorphic) 코어, 3개의임배디드 (embedded) x86 프로세서, 오프-칩통신인터페이스로구성되었다. 비동기방식의온-칩네트워크는코어간의통신, 코어간의읽기쓰기, SNN의컴퓨팅을위한스파이크메시지전달을지원한다. 메쉬 (mesh) 프로토콜은 4096개의온-칩코어까지확장가능하며, 계층적으로는 16384개의코어까지가능하다. 각각의뉴로모픽 (neuromorphic) 코어는트리구조로세팅된 1024개의스파이킹뉴럴 (spiking neural) 유닛으로구현되었으며, fan-in과 fan-out의연결, 구성및상태는 10개의메모리에서공유한다. Loihi 칩은처음으로 Sparse network compression, Core-to-core multicast, Variable synaptic formats, Population-based hierarchical connectivity를지원하는 SNN 칩이다. 각각의 Loihi 코어들은프로그램을할수있는학습엔진이포함되어, 오랜시간이지나도시냅틱 (synaptic) 의활성상태를진화시킬수있다. Loihi 코어들의로직들은모두디지털로구현되었으며, 각각의모듈들은비동기식방식으로서로연결되어 event가발생될때만스파이크가발생, 라우팅및전달이되어전력소모를많이줄일수있다 [11]. (1) Loihi 칩의메쉬 (mesh) 동작 < 그림 7> 은뉴로모픽메쉬 (mesh) 코어의작동원리를보여준다. 각각의코어들은알고리즘의시간적상수 time-step t에의해시작된다. 각각의코어는뉴런의셋과정을반복하고, 셋 (set) 과정에서생긴뉴런들은 Network-on-chip(NoC) 에서분리를하여스파이크메시지를전달한다. A 코어와 B 코어에서분리된뉴런 n1 과 n2는 < 그림 7> 의두번째박스에서보여주고, 추가의스파이크뉴런이 NoC에분리될때생성되는그림은세 618 _ The Magazine of the IEIE 58

65 엣지디바이스를위한뉴로모픽비동기프로세서의설계 < 그림 7> Mesh Operation 번째박스에서보여준다. NoC는 dimension-order 라우팅알고리즘을사용하여여러개의스파이크메시지들을분리한다. 한개의스파이크가생성될경우, NoC는유니캐스트 (unicast) 방식으로뉴런을분리한다. 여러개의스파이크가생성되면, 각각코어의출력은하나의코어에하나의뉴런을목표지점으로삶는것을원칙으로한다. 그러나교착상태 (deadlock) 의발생으로인한 chip-tochip의메시지송신을위하여, 메쉬 (mesh) 구조는두개의독립적인라우터네트위크를사용한다. 대역폭의효율을줄이기위해, 각각의코어들은라우터네트워크를사용한스파이크송신을선택할수있다. time-setup t 시간이지난후, 모든코어들은각각의스파이크를전송했다는확인을받아야그다음 time-step t+1 로넘어가게된다. 이메쉬 (mesh) 구조는글로벌클록을이용한분리방식보다 barrier synchronization 방법을사용하여, time-step t 시간에스파이크송신이완료되면, 근접된코어에장벽 (barrier) 메시지를전달하여다음상태로전환한다 [11]. (2) Loihi 칩디자인구현 < 그림 8> 은 Loihi 마이크로아키텍처의내부구조이다. 색상이있는블록들은이구조의메인메모리들이며, 뉴런의연결, 구성및다이내믹상태를맵핑시켜준다. 코어의 SRAM 사이즈는 2Mb이고 ECC 기능이포함되어있으며, input spike handling, compartment update, output spike generation, synaptic update 등 4개의초기작동모드가있다. 이네개의모드는최소클록사이클에서작동하며, UCODE 블록은재구성이가능한학습엔진이다. 시냅스는 64비트의 SYNAPSE_MEM 블록에서병렬추출되며, DENDRITE_ACCUM 과 LEARNING 블록에들어가게된다. pre-synaptic trace 상태는 SYNAPSE_MEM 포인터에같이저장되고 SYNAPSE_ < 그림 8> Core Top-Level Microarchitecture MAP 메모리로들어가게되며, 스파이크가멀티시리얼로처리되게되면서면적을줄일수있다 [11-12]. (3) Loihi 칩비동기설계방법뉴럴네트워크는근본적으로비동기식작동방식을가지고있다. 비동기식디자인방법은뉴럴네트워크의적합한설계방식으로오랜기간동안연구되어왔다. 본아키텍처디자인은 top-down 분해방식의구조로이루어지고, CAST와 CSP 언어로설계되었다. 계층적구조를가지는모듈들은비동기식메시지전달을하기위해, request와 acknowledge 신호를가지는 bundled 데이터블록과맵핑을이룬다. < 그림 9> 는 bundled 데이터파이프라인구조와펄스발생회로도이며, 두개위상의 handshake와 request 신호를가진다. 스파이크뉴럴네트워크 (SNN) 의활성도에따라, Fine-grain 비동기식설계방식은시간과공간의이점을가지고있다. 동기식클 < 그림 9> Bundled data pipeline stage and its pulse generator circuit 59 전자공학회지 _ 619

66 이진경, 김경기 < 그림 11> Two-stage tag-based routing scheme < 그림 10> Loihi Chip plot 록의활성도에따른각각의모듈들이비동기식방식에서로맵핑이된다면, 전력소모를많이줄일수있을뿐만아니라, 타이밍마진도줄일수있다 [11]. CSP 언어로구현된계층적디자인들은파이프라인합성툴을사용하여 Verilog언어로변환하였다. Verilog로변환된동기식과비동기식디자인들은 FPGA로구현하였다. 비동기식방식을이용한모듈들은모두스탠더드셀라이브러리로레이아웃을하였으며 < 그림 10> 은 Loihi 칩의 layout을보여주고있다 [11]. 2. aictx사의 DYNAP Dynamic Neurormorphic Asynchronous Processor (DYNAP) 은혼합신호배열의스파이크뉴런을기반으로재구성가능한범용실시간신경네트워크를제공한다. DYNAP는계층적및메시라우팅전략을사용하고메모리요구사항과대기시간을최소화하는이기종메모리구조를결합하여매개변수구성을통해광범위한이벤트기반신경네트워크아키텍처를지원하는프로그래밍유연성을극대화하는새로운비동기라우팅방법을제시하였다. 효율적인완전비동기식코어간및칩간라우팅아키텍처를통해과중한시스템부하를임의연결로해결하도록하였다. 이는고속비동기계층적라우터를구현하는새로운코어아키텍처를사용하여달성된다. 최 대 4 4 개의 DYNAP 칩을 all-to-all 연결을통해직접인터페이스할수있게하였다. DYNAP 칩은표준 4 단계핸드쉐이킹을통해동적비젼센서 (DVS, dynamic vision sensor) 에고속으로표시되는시각적기호의실시간분류를위해컨벌루션 (convolutional) 신경망을사용하는신경뉴로모픽프로세서의사용을데모를통해서증명하였다 [12-13]. (1) DYNAP의 Memory Optimized Routing 방법 N X N 크로스바어레이구조를가지는뉴로모픽시스템에서각각의뉴런들이가지고있는비트어드레스는 log 2 (N) 이다. 따라서 F개의 fan-out을지원할수있는뉴런의개수는 Fxlog 2 (N) 개이며, 면적소모도많을뿐만아니라뉴런간의연결도복잡하다. < 그림 11> 은뉴런및메모리개수를줄이기위한메모리라우팅방식이다. 각각의다른 Cluster 들은독립적인어드레스주소를가지고있으며, 뉴런과뉴런간의연결이재사용이가능하도록같은 tag id를사용하였다 [14]. (2) DYNAP의계층적메쉬라우팅 (mesh routing) 아키텍처뉴럴 (neural) 네트워크의메모리라우팅을최적화하기위해, 각각코어의연결은비동기식라우터에의해컨트롤되는메쉬 (mesh) 라우팅구조를사용하였다. < 그림 12> 는비동기식방식을이용한메쉬라우팅네트워크구조이며, 3개레벨의각각다른라우터를사용하여구현하 620 _ The Magazine of the IEIE 60

67 엣지디바이스를위한뉴로모픽비동기프로세서의설계 < 그림 12> Mixed-mode hierarchical-mesh routing network example < 그림 14> Block diagram of R1, R2, R3 routers < 그림 13> Controlled-pass QDI circuit diagram 였다. 최하위레벨인비동기식방식의라우터 R1은로컬의코어를연결해주는라우터이며, event를그전의코어로전송해주는역할도한다. R2는트리-구조를사용하여, 각각의로컬 R1 라우터에연결이되며, R3은최상위비동기식라우터이다. R1, R2, R3 라우터는비동기식방식중하나인 QDI 방식을사용하여구현하였다. QDI 방식은각각게이트의전파지연에만의존하고, 게이트지연에는의존하지않는다. QDI 방식의 R1, R2, R3의컨트롤패스의구현은 < 그림 13> 의회로다이어그램에서보여주고있다. QDI 방식의구현은 Communicating Hardware Process(CHP) 언어로프로그램이가능하다. 핸드쉐이킹 (handshaking) 프로토콜과다른타입의프로토콜도 CHP 프로그램을사용하여배치가가능하다. 컨 트롤패스는두개의인풋채널과하나의아웃풋채널로구성된다. in 채널은인풋데이터를홀드시키고, sig 채널은인풋채널의데이터를아웃풋채널로전송하는컨트롤신호로사용된다. sig 채널은듀얼-레일구조를사용하며 sig.t와 sig.f의신호는동시에 1이될수없다. sig.t 신호가 1이면, 아웃풋채널은셋되고, 인풋데이터를받을준비가되어있다. sig.f 신호가 1이면, 더이상의컴퓨팅실행은건너뛰도록한다 [15]. < 그림 14> 는 R1, R2, R3 라우터의블록다이어그램이다. 각각의코어는 R1과연결되어있다. 어드레스발생이 corex에서일어나면, 데이터는 R1 라우터의 Append 블록으로전송된다. 이블록은두개의비트를추가하여, fan-out과 first-level를인코딩하는데사용된다. 메모리어드레스루프블록은 QDI merge 블록, 버퍼, 스플릿패스, 컨트롤패스, 및 decrement 패스로이루어진다. SRAM의사이즈는 20Kb이며, 10비트의 tag 어드레스, 6비트의헤더정보및 4비트의목적 id를가진다. 스플릿패스는코어와칩의목적어드레스를체크하여, 데 61 전자공학회지 _ 621

68 이진경, 김경기 < 그림 15> Die photo of DYNAP chip. 이터가같은코어에전송되는지아니면다른코어로전송될지결정한다. R2 라우터는 R1 라우터의통신을관리한다. R2 라우터는트리구조를이용하여 R1 라우터를관리한다 [16]. DYNAP 뉴로모픽칩은 < 그림 15> 와같이총 4개의코어가있으며, 각코어는 256개의뉴런이포함되어있다. 네개의코어는비동기식라우터 R1, R2, R3으로상호연결되고, 0.18um CMOS 공정에서구현되었다. 칩면적은 43.79mm 2 이다 [17]. 3. Eta Compute사의 EtaCore 앞에서소개한두개의예와는다른예를소개하고자한다. IP 라이센싱 (licensing) 기업인 Eta Compute는저전력기계학습및인공지능을위한 55nm 공정을사용한 AI 컴퓨팅플랫폼을최근발표하였다. AI 컴퓨팅을위한마이크로프로세서를초저전력비동기방식으로설계함과동시에스파이킹뉴럴 (spiking neural) 네트워크소프트웨어를구현하였다. 플랫폼은 < 그림 16> 과같이 ARM의 Cortex-M3 프로세서, NXP Semiconductors 의 Coolflux DSP, 12 비트 SAR (successive approximation register) 아날로그-디지털컨버터, 전력관리, 파워온리셋, 브라운발진기, 온도센서, 수정발진기, RC 발진기등으로구성이되어있다. 2015년설립된 Eta Compute사는 QDI 모델을사용한 NCL을기반으로지연에민감하지않은비동기식로직 (DIAL, delay insensitive asynchronous logic) 기술을 Cortex-M3 및 Coolflux DSP에적용하여이벤트 (event) 를기다릴때는 deep sub-threshold 전압, 즉극도의저전력을사용하게해서소비전력을거의사용하지않게하고, 일반성능을요구할때는약 100MHz의 < 그림 16> EtaCore 플랫폼 < 표 2> Significant Benefit of Eta Core Efficient event driven computing technique that burns power only when spiking Power efficient inferencing uses much less resources (compute, memory) Temporal information enables online learning and autonomous adaption to environment Drop power consumption by V2 as the workload is reduced Only viable solution that can operate < 5uA drawn from small coin cells or solar, or other energy harvesting Resistance to DPA side channel attacks for increased security of embedded application Enables rapid interrupt response for low latency applications Robust operation versus process and temperature which improves manufacturing yield and design margin < 그림 17> Eta Compute Asynchronous Multicore chip (100K neurons, 10M synapses) 성능까지확장할수있는초저전력프로세서를앞서개발하였다. 그리고개발된저전력 DIAL 기술을기반으로소프트인공지능엔진을구동할수있는스파이킹뉴럴 (spiking neural) 네트워크를개발한것이다. 소프트인공지능엔진을실행할수있는 Cortex-M3 프로세서는 622 _ The Magazine of the IEIE 62

69 엣지디바이스를위한뉴로모픽비동기프로세서의설계 최저 0.2V까지작동할수있으며최저 1uW의전력을소비한다. 그러나 SRAM의전압유지를위해레벨쉬프터 (level shifter) 를사용하게된다. 일반적으로클록주파수가 0.2V에서 100KHz 미만으로감소되고 1V 이상에서는 100MHz에서작동한다 [18]. 그외 Eta Compute사에서밝히고있는칩의이점은 < 표 2> 와같다. 2018년가을에 Eta compute사에 < 그림 17> 과같은비동기멀티코어칩을생산할계획이다. (a) 동기회로를 NCL 비동기회로로변환 (1) EtaCore의 DIAL architecture DIAL 구조는 < 그림 18(a)> 와같이기존의모든동기회로방식을비동기회로방식으로변환하기위해서동기식논리회로들을모두 QDI방식의 NCL 회로들로변환하는것이다. NCL 설계방식은클럭이존재하지않는비동기설계에서 QDI 설계방식에속한다. NCL 회로는 selftimed 논리이므로최악의경우의경로지연분석과제어신호의지연을맞추는것을요구하지않는다. DATA와 DATA 사이에 NULL 신호가포함되어동작하는데, 이때문에 null convention logic이라불리게명명되었다. NCL 회로의장점은전역클럭이없고, 노이즈에강하며, 낮은전자파간섭을가지고, 저전력을가질수있는장점이있고, 기존 CAD 툴을이용한설계가가능하다 [18-19]. DIAL에서사용한설계과정은 < 그림 18(b)> 와같고, 주된부분은아래와같다. 1. Sync to Async low voltage conversion 2. Delay insensitive cell library development 3. Optimization 4. Async DFT Scan Insertion at operational voltage 5. Sync to Async Formal Verification (2) EtaCore의 Always-on Paradigm EtaCore는 active/sleep 또는 turn-on/off 모드라는기존개념을쓰기보다는항상온상태를저전압으로유지하는 Always-on 전략을사용한다. < 그림 19> 와같이저전력을위해서프로세서의 turn-on 시간이시간을줄이고주파수를높일경우에 turn-on 시간의전체시간의 (b) DIAL 설계과정 < 그림 18> DIAL 설계방법 < 그림 19> EtaCore의 Always-on 동작 1% 임에도불구하고, turn-on/off 동안의전력소비가크게된다. 뿐만아니라, turn-on 시간을줄이기위한높은주파수는전압스케일링 (scaling) 에한계를가지게되고, 세밀한 PLL(Phase- Locked Loop) 을요구하게된다. EtaCore는 always-on 동작에서전력을최소화하기위해서 deep sub-threshold 전압을사용하고있다. 기존의동기식에서 deep sub-threshold 영역에서의동작의 PVT(process, voltage, temperature) 의변이의영향때문에순차회로에서는사용이어렵다. 하지만, EtaCore 는비동기방식의 NCL를사용함으로써 deep subthreshold 영역에서도논리적인문제가없이회로가작동하게되는것이다 [18-19]. 63 전자공학회지 _ 623

70 이진경, 김경기 Ⅳ. 결론 로이히 (Loihi) 칩이 2019년까지 1,000억개의시냅스를탑재할것이며생쥐수준의두뇌복잡성과같은수준을목표로할것이라고인텔에서발표한것과같이아직갈길이멀고, 인간뇌를모방한뉴로모픽발전에는소자, 알고리즘, 아키텍처등해결해야할여러문제들이있다. 그중에서뉴로모픽칩설계에서의비동기설계방식도하나의연구일것이다. 왜냐하면, 우리의두뇌는정보처리와에너지소비사이에균형을맞추면서진화해왔듯이컴퓨팅및정보처리의비동기성은뉴로모픽시스템에서이러한균형을가능하게하는핵심원리중하나이기때문이다. 특히엣지컴퓨팅환경하에서비동기방식을사용한뉴로모픽프로세서는초저전력의효율적인정보처리를가능하게할것이다. 본고에서는초저전력을요구하는엣지컴퓨팅환경하에서뉴로모픽비동기프로세서개발에대한필요성과예제를소개해보았다. 한국을비롯한세계각국에서뉴로모픽프로세서에대한연구가크게이루어지고있고, 여러회사들이새로운뉴로모픽칩을발표하고있다. 이런상황에서엣지디바이스를위한초저전력과고신뢰도의뉴로모픽칩에적합한새로운비동기방식의뉴로모픽기술개발에대한연구와지원이확대되기를기대한다. 참고문헌 [1] N. Abbas, Y. Zhanag, A. Taherkordi, T. Skeie, Mobile Edge Computing: A Survey, IEEE INTERNET OF THINGS JOURNAL, Vol. 5, No. 1, pp , Feb [2] H. Li, K. Ota, M. Dong, Learning IoT in Edge: Deep Learning for the Internet of Things with Edge Computing, IEEE Network, Vol. 32, No. 1, pp , Feb [3] G. Volanis, A. Antonopoulos, Y. Makris, Toward Silicon- Based Cognitive Neuro- morphic ICs A Survey, IEEE Design & Test, Vol. 33, No. 3, pp , June [4] A. Basu, J. Acharya, T. Karnik, H. Liu, H. Li, J. Seo, C. Song, Low-Power, Adaptive Neuromorphic Systems: Recent Progress and Future Directions, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 8, No. 1, pp. 6-27, March [5] Intel s New Self-Learning Chip Promises to Accelerate Artificial Intelligence, Intel Newsroom, com /editorials/intels-new-self-learning chip-promisesaccelerate-artificial-intelligence/ [6] Huajun Chi, Sangman Kim, and Jusung Park, Mixed Dualrail Data Encoding Method Proposal and Verification for Low Power Asynchronous System Design, Journal of IEEK, Vol 51, No.7, pp , [7] Myeong-Hoon Oh, Design of QDI Model Based Encoder/ Decoder Circuits for Low Delay-Power Product Data Transfers in GALS Systems, Journal of IEEK (SD), Vol 43, No.1, pp , [8] P. A. Beerel, R. O. Ozdag and M. Ferretti, A Designer s Guide to Asynchronous VLSI, Cambridge University Press, [9] Scott C. Smith, Jia Di, Designing Asynchronous Circuits using NULL Convention Logic (NCL), Morgan & Claypool Publishers, [10] F. A. Parsan, W. K. Al-Assadi, S. C. Smith, Gate Mapping Automation for Asynchronous NULL Convention Logic Circuits, IEEE Trans. on VLSI Systems, Vol 22, Issue 1, pp , Jan [11] M. Davies, N. Srinivasa, T. Lin, G. Chinya, Y. Cao, S. H. Choday, G. Dimou, et. al., Loihi: A Neuromorphic Manycore Processor with On-Chip Learning, IEEE Micro, Vol. 38, No. 1, pp , Jan./Feb [12] S. Moradi, N. Qiao, F. Stefanini, G. Indiveri, A Scalable Multicore Architecture With Heterogeneous Memory Structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs), IEEE Transactions on Biomedical Circuits and Systems, Vol. 12, No. 1, pp , Feb [13] aictx, [14] Y. LeCun, Y. Bengio, and G. Hinton, Deep learning, Nature, vol. 521, no. 7553, pp , [15] B. V. Benjamin, P. Gao, E. McQuinn, S. Choudhary, A. R. 624 _ The Magazine of the IEIE 64

71 엣지디바이스를위한뉴로모픽비동기프로세서의설계 Chandrasekaran, J. Bussat, R. Alvarez-Icaza, J. Arthur, P. Merolla, and K. Boahen, Neurogrid: A Mixed-analogdigital Multichip System for Large-scale Neural Simulations, Proceedings of the IEEE, vol. 102, no. 5, pp , [16] S. Moradi, N. Qiao, F. Stefanini and G. Indiveri, A Scalable Multicorearchitecture with Heterogeneous Memory Structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs), IEEE Transaction Biomedical Circuits and Systems, [17] E. Chicca, F. Stefanini, C. Bartolozzi, and G. Indiveri, Neuromorphic Electronic Circuits for Building Autonomous Cognitive Systems, Proceedings of the IEEE, vol. 102, no. 9, pp , [18] Eta Compute, [19] Self-timed ARM M3 Microcontroller for Energy Harvested Applications, HOTCHIPS 2017 Archives, hotchips.org/archives/2010s/hc29/ 이진경 2012 년 6 월연변대학교공과대학통신공학과학사 2014 년 8 월대구대학교전자공학과석사 2014 년 9 월 ~ 현재대구대학교전자공학과박사과정 < 관심분야 > SoC Design, Neuromorphic processor, Asynchronous circuit, Cryptography processor 김경기 1995 년 8 월영남대학교공과대학전자공학과학사 1997 년 8 월영남대학교전자공학과석사 1999 년 2 월서강대학교컴퓨터학과박사과정 2008 년 1 월 Northeastern University(Boston) 박사 2008 년 1 월 ~2009 년 2 월미국 SUN Microsystems 연구원 2009 년 2 월 ~2010 년 2 월미국 IIT 연구원 2010 년 2 월 ~ 현재대구대학교전자공학과부교수 2017 년 4 월 ~ 현재 IEEE CASS 대구챕터회장 < 관심분야 > SoC Design, Neuromorphic processor, Asynchronous circuit, Cryptography processor, CAD 65 전자공학회지 _ 625

72 The Institute of Electronics and Information Engineers 전자공학회논문지제 55 권 7 호발행 논문지논문목차 [ 통신 ] FBMC-QAM 시스템에서의부반송파인덱스변조기법이태현, 박휘성, 유정호, 박민호, 한철희, 이충용 [ 마이크로파및전파 ] 위상배열추적안테나시스템의추적방법에관한연구정진우, 임영석 통신분야 반도체분야 [SoC 설계 ] 동적문턱전압 MOSFET 를사용한새로운저전압저전력셀프캐스코드구조의설계및응용한석붕, 고진환, 송한정, 김강철 컴퓨터분야 [ 인공지능, 신경망및퍼지시스템 ] 기계학습및딥러닝알고리즘을사용한스마트수도미터시스템에서의물사용량데이터분석최준혁, 김지범 YOLO 검출기를이용한한자의강건한위치검출을위한학습자료자동생성이장원, 장길진 [ 융합컴퓨팅 ] 가우시안혼합모델과경사도방법을이용한효과적인감정분류에대한연구한의환, 차형태 626 _ The Magazine of the IEIE 66

73 논문지논문목차 신호처리분야 [ 영상신호처리 ] 딥러닝기반소형물체검출기법최준호, 한태영, 이승현, 송병철 [ 음향및신호처리 ] 좌표변환을이용한강인한음원추적시스템최지수, 이현석, 박규식 시스템및제어분야 [ 제어계측 ] 열전대열적외선센서기반인체감지거리가확장된판정모듈설계강건일, 남상원 철도차량궤도분기기밀착상태측정을위한알고리즘연구박주훈, 김정연, 김희식 경유내불순물함유량측정을위한마이크로스트립패치센서정윤상, 이기배, 이종현 [ 국방정보및제어 ] 지능형유도탄약의신뢰성향상을위한항법센서고장탐지및대응기법신승제, 김환우 [ 신호처리및시스템 ] 알칼리전해조의역펄스를이용한스케일제거권윤중 RC4 하드웨어설계를위한모듈로연산기법이규희 산업전자분야 67 전자공학회지 _ 627

74 The Magazine of the IEIE 국내외학술행사안내 국 내외에서개최되는각종학술대회 / 전시회를소개합니다. 게재를희망하시는분은간략한학술대회정보를이메일로보내주시면게재하겠습니다. 연락처 : edit@theieie.org 2018 년 8 월 일자학술대회명개최장소홈페이지 / 연락처 Progress in Electromagnetics Research Symposium (PIERS-Toyama) nd International Conference on Data and Information Sciences (ICDISs) 2018 IEEE Asia-Pacific Conference on Antennas and Propagation (APCAP) 2018 IEEE 61st International Midwest Symposium on Circuits and Systems (MWSCAS) 2018 IEEE International Conference on Mechatronics and Automation (ICMA) IEEE Power & Energy Society General Meeting (PESGM) th International Conference on Agro-geoinformatics (Agrogeoinformatics) 2018 IEEE International Symposium on Safety, Security, and Rescue Robotics (SSRR) 2018 International Conference on Data Science and Engineering (ICDSE) th International Conference on Science and Technology (ICST) 2018 IEEE XXV International Conference on Electronics, Electrical Engineering and Computing (INTERCON) IEEE 29th Magnetic Recording Conference (TMRC) th International Conference on Computer Science & Education (ICCSE) th International Conference on Electronic Packaging Technology (ICEPT) 2018 IEEE CSAA Guidance, Navigation and Control Conference (GNCC) IEEE Advanced Accelerator Concepts Workshop (AAC) th IEEE International Conference on Signal Processing (ICSP) 2018 International Conference on Computer, Control, Electrical, and Electronics Engineering (ICCCEEE) 2018 IEEE Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER) 2018 International Conference on Intelligent and Advanced System (ICIAS) 2018 IEEE International Conference on Manipulation, Manufacturing and Measurement on the Nanoscale (3M-NANO) 2018 International Conference on Computing and Network Communications (CoCoNet) Toyama City, Japan Ghaziabad, Norway Heritage Hotel Auckand, New Zealand Caesar Windsor, ON, Canada Sheraton Changchun Jingyuetan Hotel, Changchun, Jilin, China Oregon Convention Center, Portland, OR, USA Zhejiang University, Hangzhou, China University of Pennyslvania, PA, USA Kochi, India Eastparc Hotel, Yogyakarta, Indonesia Universidad Nacional Mayor de San Marcos, Lima, Peru Western Digital Milpitas Campus, Milpitas, CA, USA Informatics Institute of Technology, Sri Lanka TBD, Shanghai, China Xiamen, China Beaver Run Resort and Conference Center, Breckenridge, CO, USA Beijing Taiyangdao Hotel, Beijing, China Corinthia Hotel, Khartoum, Sudan Mangalore Institute of Technology and Engineering (MITE), Karnataka, India Kuala Lumpur Convention Centre (KLCC), Malaysia Deefly Zhejiang Hotel, Hangzhou, China Nazarbayev University, Astana, Kazakhstan ieee-icma.org/ TBD agro-geoinformatics.org ssrr2018.org icdse.cusat.ac.in icst.ugm.ac.id/2018/ tmrc2018.ucsd.edu gncc.buaa.edu.cn/ icsp-ieee.org ICCCEEE/ estcon.utp.edu.my/icias/ _ The Magazine of the IEIE 68

75 일자학술대회명개최장소홈페이지 / 연락처 Asia-Pacific Conference on Plasma and Terahertz Science (APCOPTS) Xian Elegant Oriental Hotel, Xian City, China tps.xait.cc International Conference on Sensing,Diagnostics, Prognostics, and Control (SDPC) TBD, xi'an, China IEEE Games, Entertainment, Media Conference (GEM) University of Ireland Galway, Ireland sites.ieee.org/ieeegem/ st IEEE International Conference on Hot Information- Centric Networking (HotICN) 2018 Fourth International Conference on Computing Communication Control and Automation (ICCUBEA) 2018 IEEE/CIC International Conference on Communications in China (ICCC) IEEE Hot Chips 30 Symposium (HCS) th International Symposium on Antenna Technology and Applied Electromagnetics (ANTEM) 2018 IEEE 14th International Conference on Automation Science and Engineering (CASE) th International Conference on Pattern Recognition (ICPR) 2018 IEEE 26th International Requirements Engineering Conference (RE) 2018 IEEE 7th International Conference on Adaptive Science & Technology (ICAST) 2018 IEEE Conference on Control Technology and Applications (CCTA) 2018 Eleventh International Conference on Ubi-Media Computing (Ubi-Media ) 2018 Wearable Robotics Association Conference Asia (WearRAcon Asia) 2018 International Conference on Research in Intelligent and Computing in Engineering (RICE) 2018 IEEE Research and Applications of Photonics In Defense Conference (RAPID) th IEEE International Conference on Biomedical Robotics and Biomechatronics (Biorob) 2018 IEEE 18th International Power Electronics and Motion Control Conference (PEMC) st Symposium on Integrated Circuits and Systems Design (SBCCI) Shenzhen, China Pimpri Chinchwad College of Engineering, Pune, India Beijing, China Flint Center for the Performing Arts, CA, USA University of Waterloo, ON, Canada Technical University of Munich Campus Garching, Germany China National Convention Center, Beijing, China Banff Centre, AB, Canada University of Ghana, Accra, Ghana Scandic Copenhagen, Denmark Nanjing Normal University, China Sheraton Grand Hangzhou Wetland Park Resort, Zhejiang, China Universidad Don Bosco, Soyapango, El Salvador Hilton Sandestin Beach Golf Resort & Spa, FL, USA University of Twente, Netherlands Budapest, Hungary DALL ONDER GRANDE HOTEL, Bento Gonçalves, Brazil iccc2018.ieee-iccc.org antem.ee.umanitoba.ca re18.org/index.html ekuada@gimpa.edu. jakob@es.aau. Ubimedia2018.com ieee-rapid.org/ wp.ufpel.edu.br/chipinthepampa IEEE 88th Vehicular Technology Conference (VTC-Fall) Chicago Hilton, IL, USA International Symposium on Electromagnetic Compatibility - EMC EUROPE University of Applied Science, Amsterdam, Netherlands rd International Conference on Methods & Models in Automation & Robotics (MMAR) Amber Baltic Hotel, Miedzyzdroje, Poland IEEE Vehicle Power and Propulsion Conference (VPPC) Chicago Hilton, IL, USA rckeele@ou.edu th International Congress on Artificial Materials for Novel Wave Phenomena (Metamaterials) International Conference on Radar (RADAR) th International Symposium on Wireless Communication Systems (ISWCS) 2018 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM) th International Conference on Reliability, Infocom Technologies and Optimization (Trends and Future Directions) (ICRITO) Aalto University campus in Otaniemi, Espoo, Finland Brisbane Convention & Exhibition Centre, Brisbane, Australia ISCTE - INSTITUTO UNIVERSITÁRIO DE LISBOA, Portugal Barcelona, Spain Amity University Uttar Pradesh, Noida, India radar2018.org iswcs2018.org asonam.cpsc.ucalgary.ca/2018/ amity.edu/aiit/icrito2018/ 69 전자공학회지 _ 629

76 일자학술대회명개최장소홈페이지 / 연락처 2018 년 9 월 IEEE 8th International Conference on Consumer Electronics - Berlin th International Conference on Lightning Protection (ICLP) ESSCIRC IEEE 44th European Solid State Circuits Conference (ESSCIRC) XIII International Conference on Electrical Machines (ICEM) IEEE 12th International Conference on Self-Adaptive and Self-Organizing Systems (SASO) Berlin, Germany Rzeszow, Poland Dresden, Germany Ramada Plaza Thraki, Alexandroupoli, Greece Trento, Italy th International Teletraffic Congress (ITC 30) Vienna, Austria rd IEEE/ACM International Conference on Automated Software Engineering (ASE) Montpellier, France th European Signal Processing Conference (EUSIPCO) Roma, Italy st IEEE International System-on-Chip Conference (SOCC) Crystal City, Virginia, USA th International Workshop on Content-Based Multimedia Indexing (CBMI) La Rochelle, France rd International Universities Power Engineering Conference (UPEC) Glasgow, United Kingdom th International Conference on Virtual Worlds and Games for Serious Applications (VS-Games) Würzburg, Germany Würzburg, Germany th International Workshop on Semantic and Social Media Adaptation and Personalization (SMAP) Zaragoza, Spain North American Power Symposium (NAPS) Fargo, North Dakota, USA IEEE 29th Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC) Bologna, Italy rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz2018) Nagoya, Japan Forum on specification & Design Languages (FDL) Garching, Germany International Conference on Smart Energy Systems and Technologies (SEST) Sevilla, Spain th France-Japan and 10th Europe-Asia Congress on Mechatronics Tsu, Japan IEEE International Conference on Cluster Computing (CLUSTER) Belfast, United Kingdom International Conference on Electromagnetics in Advanced Applications (ICEAA) Cartagena des Indias, Colombia International Conference on Applied Electronics (AE) Pilsen, Czech Republic th Annual Conference of the Society of Instrument and Control Engineers of Japan (SICE) Nara, Japan IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC) Hanoi, Vietnam IEEE International Conference on Vehicular Electronics and Safety (ICVES) Madrid, Spain ACM/IEEE International Symposium on Empirical Software Engineering and Measurement (ESEM) Oulu, Finland IEEE XXVII International Scientific Conference Electronics (ET) Sozopol, Bulgaria IEEE 16th International Symposium on Intelligent Systems and Informatics (SISY) Subotica, Serbia IEEE East-West Design & Test Symposium (EWDTS) Kazan, Russia _ The Magazine of the IEIE 70

77 일자학술대회명개최장소홈페이지 / 연락처 th International Symposium on High-Current Electronics (ISHCE) Tomsk, Russia conferences/shce.html IEEE International Semiconductor Laser Conference (ISLC) Santa Fe, New Mexico, USA th Euro-Asian Pulsed Power Conference (EAPPC) and 22nd International Conference on High-Power Particle Beams (BEAMS) Changsha, China nd International Conference on Ion Implantation Technology (IIT) 2018 IEEE 23rd International Workshop on Computer Aided Modeling and Design of Communication Links and Networks (CAMAD) 2018 China International Conference on Electricity Distribution (CICED) 2018 IEEE 28th International Workshop on Machine Learning for Signal Processing (MLSP) IEEE AUTOTESTCON Joint IEEE 8th International Conference on Development and Learning and Epigenetic Robotics (ICDL-EpiRob) th ACM International Conference on Nanoscale Computing and Communication (NanoCom) th European Conference on Power Electronics and Applications (EPE'18 ECCE Europe) th Electronic System-Integration Technology Conference (ESTC) Würzburg, Germany Barcelona, Spain Tianjin, China Aalborg, Denmark National Harbor (Oxon Hill), Maryland, USA Tokyo, Japan Reykjavik, Iceland Riga, Latvia Dresden, Germany International Symposium on Computers in Education (SIIE) Jerez (Cadiz), Spain International Conference on Advances in Computing, Communications and Informatics (ICACCI) Bangalore, India International Workshop on Big Geospatial Data and Data Science (BGDDS) Wuhan, China Condition Monitoring and Diagnosis (CMD) Perth, Australia IEEE/AIAA 37th Digital Avionics Systems Conference (DASC) London, United Kingdom IEEE Energy Conversion Congress and Exposition (ECCE) Portland, Oregon, USA European Conference on Optical Communication (ECOC) Rome, Italy th International Symposium on Discharges and Electrical Insulation in Vacuum (ISDEIV) Greifswald, Germany IEEE International Conference on Software Maintenance and Evolution (ICSME) Madrid, Spain International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) Austin, Texas, USA Thirteenth International Conference on Digital Information Management (ICDIM) Berlin, Germany IEEE Petroleum and Chemical Industry Technical Conference (PCIC) Cincinnati, Ohio, USA th International Symposium on Environment-Friendly Energies and Applications (EFEA) Rome, Italy International Conference on Indoor Positioning and Indoor Navigation (IPIN) Nantes, France IEEE 26th International Conference on Network Protocols (ICNP) Cambridge, United Kingdom IEEE 26th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS) Milwaukee, Wisconsin, USA IEEE 9th International Workshop on Applied Measurements for Power Systems (AMPS) Bologna, Italy 71 전자공학회지 _ 631

78 일자학술대회명개최장소홈페이지 / 연락처 International Conference of the Biometrics Special Interest Group (BIOSIG) Darmstadt, Germany First International Conference on Artificial Intelligence for Industries (AI4I) Laguna Hills, California, USA IEEE International Conference on RFID Technology & Application (RFID-TA) Macau, Macao rd International Workshop on Thermal Investigations of ICs and Systems (THERMINIC) Stockholm, Sweden IEEE/ACM International Conference on Connected Health: Applications, Systems and Engineering Technologies (CHASE) Washington, District of Columbia, USA chase2018/ International Conference on Computing, Power and Communication Technologies (GUCON) Greater Noida, Uttar Pradesh, India IEEE Cybersecurity Development (SecDev) Cambridge, Massachusetts, USA IEEE International Symposium on Workload Characterization (IISWC) Raleigh, North Carolina, USA IEEE Photonics Conference (IPC) Reston, Virginia, USA IEEE International Symposium on Precision Clock Synchronization for Measurement,Control, and Communication (ISPCS) Geneva, Switzerland Embedded Systems Week (ESWeek) Torino, Italy 년 10 월 Sixth International Conference on Enterprise Systems (ES) Limassol, Cyprus Eleventh International Conference "Management of largescale system development" (MLSD) Moscow, Russia IEEE International Systems Engineering Symposium (ISSE) Rome, Italy Open Innovations (OI) South Africa IEEE 43rd Conference on Local Computer Networks (LCN) Chicago, Illinois, USA IEEE Symposium on Visual Languages and Human-Centric Computing (VL/HCC) Lisbon, Portugal IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS) Madrid, Spain nd International Conference On Quran-Hadith, Information Technology and Media: Challenges and Opportunities (ICONQUHAS) Bandung, Indonesia IEEE 37th Symposium on Reliable Distributed Systems (SRDS) Salvador, Brazil XIV International Scientific-Technical Conference on Actual Problems of Electronics Instrument Engineering (APEIE) Novosibirsk, Russia International Conference on Applied Engineering (ICAE) Batam, Indonesia International Conference on Promising Electronic Technologies (ICPET) Deir El-Balah, Palestine AEIT International Annual Conference Bari, Italy Congreso Internacional de Innovación y Tendencias en Ingeniería (CONIITI) Bogota, Colombia International Conference on Automation and Computational Engineering (ICACE) Greater Noida, India IEEE Frontiers in Education Conference (FIE) San Jose, California, USA th International Conference on Telecommunication Systems, Services, and Applications (TSSA) Bandar Lampung, Indonesia _ The Magazine of the IEIE 72

79 일자학술대회명개최장소홈페이지 / 연락처 Twelfth IEEE/ACM International Symposium on Networkson-Chip (NOCS) Torino, Italy International Ural Conference on Green Energy (UralCon) Chelyabinsk, Russia International Conference on Applied and Theoretical Electricity (ICATE) Craiova, Romania Eleventh International Conference on Mobile Computing and Ubiquitous Network (ICMU) Auckland, New Zealand IEEE 59th Annual Symposium on Foundations of Computer Science (FOCS) Paris, France th IEEE International Conference on Image Processing (ICIP) Athens, Greece IEEE PES Asia-Pacific Power and Energy Engineering Conference (APPEEC) Kota Kinabalu, Malaysia IEEE International Conference on Systems, Man, and Cybernetics (SMC) Miyazaki, Japan IEEE 36th International Conference on Computer Design (ICCD) Orlando, Florida, USA International Integrated Reliability Workshop (IIRW) South Lake Tahoe, California, USA IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) Chicago, Illinois, USA IEEE-SA Ethernet & Automotive Technology Day (E&IP@ATD) London, United Kingdom automotive/ th Biennial Baltic Electronics Conference (BEC) Tallinn, Estonia International Conference on Advanced Science and Engineering (ICOASE) Duhok, Kurdistan Region, Iraq International Scientific-Practical Conference Problems of Infocommunications. Science and Technology (PIC S&T) Kharkiv, Ukraine Conference on Design and Architectures for Signal and Image Processing (DASIP) Porto, Portugal IEEE Electrical Power and Energy Conference (EPEC) Toronto, Ontario, Canada IEEE Workshop on Complexity in Engineering (COMPENG) Florence, Italy rd International Conference on Contemporary Computing and Informatics (IC3I) Gurgaon, India New Trends in Signal Processing (NTSP) Liptovský Mikuláš, Slovakia International Conference on Smart Systems and Technologies (SST) Osijek, Croatia China International SAR Symposium (CISS) Shanghai, China IEEE International Conference on Smart Materials and Spectroscopy (SMS) Hammamet, Tunisia th International Conference on Renewable Energy Research and Applications (ICRERA) Paris, France IEEE 27th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS) San Jose, California, USA rd International Conference on Communication and Electronics Systems (ICCES) Coimbatore, India th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob) Limassol, Cyprus IEEE 13th Nanotechnology Materials and Devices Conference (NMDC) Portland, Oregon, USA IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S) Burlingame, California, USA IEEE International Conference on Imaging Systems and Techniques (IST) Krakow, Poland 73 전자공학회지 _ 633

80 일자학술대회명개최장소홈페이지 / 연락처 IEEE Radio and Antenna Days of the Indian Ocean (RADIO) Mauritius IEEE Third Ecuador Technical Chapters Meeting (ETCM) Cuenca, Ecuador nd International Conference on Electrical Engineering and Informatics (ICon EEI) Batam, Indonesia th International Symposium on Pervasive Systems, Algorithms and Networks (I-SPAN) Yichang, China th International Conference on Wireless Networks and Mobile Communications (WINCOM) Marrakesh, Morocco IEEE 12th International Conference on Application of Information and Communication Technologies (AICT) Almaty, Kazakhstan IEEE Biomedical Circuits and Systems Conference (BioCAS) Cleveland, Ohio, USA Conference Grid, Cloud & High Performance Computing in Science (ROLCG) Cluj-Napoca, Romania IEEE International Conference on Automation/XXIII Congress of the Chilean Association of Automatic Control (ICA- ACCA) Concepcion, Chile International Workshop on Power Supply on Chip (PwrSoC) Hsinchu, Taiwan International Conference on Information and Communication Technology Convergence (ICTC) Jeju Island, Korea (South) th International Conference on Reliability, Maintainability, and Safety (ICRMS) Shanghai, China International Conference and Exposition on Electrical And Power Engineering (EPE) Iasi, Romania IEEE 17th International Symposium on Network Computing and Applications (NCA) Cambridge, Massachusetts, USA IEEE 4th International Conference on Collaboration and Internet Computing (CIC) Philadelphia, Pennsylvania, USA th International Conference on Information Technology in Medicine and Education (ITME) Hangzhou, China st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) Fukuoka, Japan IECON th Annual Conference of the IEEE Industrial Electronics Society D.C., District of Columbia, USA IEEE Conference on Electrical Insulation and Dielectric Phenomena (CEIDP) Cancun, Mexico IEEE International Workshop on Signal Processing Systems (SiPS) Cape Town, South Africa IEEE International Ultrasonics Symposium (IUS) Kobe, Japan International Topical Meeting on Microwave Photonics (MWP) Toulouse, France th International Conference on Universal Village (UV) Boston, Massachusetts, USA International Symposium on Antennas and Propagation (ISAP) Busan, Korea (South) IV International Conference on Information Technologies in Engineering Education (Inforino) Moscow, Russia International Conference on Orange Technologies (ICOT) Nusa Dua, BALI, Indonesia th International Conference on Control Engineering & Information Technology (CEIT) Istanbul, Turkey IEEE 3rd International Conference on Computing, Communication and Security (ICCCS) Kathmandu, Nepal IEEE/ACM Symposium on Edge Computing (SEC) Seattle, Washington, USA _ The Magazine of the IEIE 74

81 일자학술대회명개최장소홈페이지 / 연락처 Fourth International Conference on Advances in Computing, Communication & Automation (ICACCA) Subang Jaya, Malaysia th Biennial Conference on Electromagnetic Field Computation (CEFC) Hangzhou, China TENCON IEEE Region 10 Conference Jeju, Korea (South) International Electronics Symposium on Engineering Technology and Applications (IES-ETA) Lombok, Indonesia IEEE 18th International Conference on Bioinformatics and Bioengineering (BIBE) Taichung, Taiwan 년 11 월 rd International Innovative Applications of Computational Intelligence on Power, Energy and Controls with their Impact on Humanity (CIPECH) Ghaziabad, India th International Conference on Renewable Energies for Developing Countries (REDEC) Beirut, Lebanon IEEE 21st International Multi-Topic Conference (INMIC) Karachi, Pakistan International Conference on Computer, Control, Informatics and its Applications (IC3INA) Tangerang, Indonesia International Conference on Health Informatics and Clinical Analytics (IC-HICA) Kathmandu, Nepal th International Conference on Knowledge and Systems Engineering (KSE) Ho Chi Minh City, Vietnam IEEE 9th Annual Information Technology, Electronics and Mobile Communication Conference (IEMCON) Vancouver, British Columbia, Canada IEEE Asia Pacific Conference on Wireless and Mobile (APWiMob) BALI, Indonesia International Symposium on Industrial Electronics (INDEL) Banja Luka, Bosnia and Herzegovina th IEEE Uttar Pradesh Section International Conference on Electrical, Electronics and Computer Engineering (UPCON) Gorakhpur, India IEEE 2nd International Electrical and Energy Conference (CIEEC) Beijing, China IEEE International Conference on Intelligent Transportation Systems (ITSC) Maui, Hawaii, USA International Automatic Control Conference (CACS) Taoyuan, Taiwan th International Symposium on Plant Growth Modeling, Simulation, Visualization and Applications (PMA) Hefei, China page/ IEEE 30th International Conference on Tools with Artificial Intelligence (ICTAI) Volos, Greece IEEE Asian Solid-State Circuits Conference (A-SSCC) Tainan, Taiwan IEEE Conference on Network Function Virtualization and Software Defined Networks (NFV-SDN) Verona, Italy IEEE/ACM International Conference on Computer-Aided Design (ICCAD) San Diego, California, USA International Conference on Numerical Simulation of Optoelectronic Devices (NUSOD) Hong Kong, China nd East Indonesia Conference on Computer and Information Technology (EIConCIT) Makassar, Indonesia International Conference on Wind Energy and Applications in Algeria (ICWEAA) Algiers, Algeria International Conference on Power System Technology (POWERCON) Guangzhou, China Asia-Pacific Microwave Conference (APMC) Kyoto, Japan 75 전자공학회지 _ 635

82 일자학술대회명개최장소홈페이지 / 연락처 IEEE/OES Autonomous Underwater Vehicle Workshop (AUV) Porto, Portugal Latin American Robotic Simposium, 2018 Brazilian Symposium on Robotics (SBR) and 2018 Workshop on Robotics in Education (WRE) João Pessoa, Brazil th International Conference on Data and Software Engineering (ICoDSE) Mataram, Lombok, Indonesia International Conference on Signal Processing and Information Security (ICSPIS) DUBAI, United Arab Emirates Argentine Conference on Automatic Control (AADECA) Buenos Aires, Argentina IEEE 38th Central America and Panama Convention (CONCAPAN XXXVIII) San Salvador, El Salvador IEEE 4th Global Electromagnetic Compatibility Conference (GEMCCON) Stellenbosch, South Africa IEEE International Autumn Meeting on Power, Electronics and Computing (ROPEC) Ixtapa, Mexico IEEE International Conference on Electrical Systems for Aircraft, Railway, Ship Propulsion and Road Vehicles & International Transportation Electrification Conference (ESARS- ITEC) Nottingham, United Kingdom IEEE International Conference on Rebooting Computing (ICRC) McLean, Virginia, USA IEEE Latin American Conference on Computational Intelligence (LA-CCI) Gudalajara, Mexico Eighth International Conference on Image Processing Theory, Tools and Applications (IPTA) Xi'an, China th International Conference on Electrical, Electronics and System Engineering (ICEESE) Kuala Lumpur, Malaysia IEEE International Conference on Artificial Intelligence in Engineering and Technology (IICAIET) Kota Kinabalu, Malaysia International Symposium on Electronics and Telecommunications (ISETC) Timisoara, Romania th IEEE Annual Ubiquitous Computing, Electronics & Mobile Communication Conference (UEMCON) New York City, New York, USA Medical Technologies National Congress (TIPTEKNO) Magusa, Cyprus IEEE International Conference on Intelligence and Security Informatics (ISI) Miami, Florida, USA JCCO Joint International Conference on ICT in Education and Training, International Conference on Computing in Arabic, and International Conference on Geocomputing (JCCO: TICET- ICCA-GECO) Tunisia / Hammamet, Tunisia Ninth International Conference on Intelligent Control and Information Processing (ICICIP) Wanzhou, China IEEE Conference on Technologies for Sustainability (SusTech) Long Beach, California, USA IEEE Nuclear Science Symposium and Medical Imaging Conference (NSS/MIC) Sydney, Australia International Conference on Sustainable Information Engineering and Technology (SIET) Malang, Indonesia IEEE Symposium on Product Compliance Engineering (SPCEB-Boston) Boston, Massachusetts, USA International conference on Computing, Electronic and Electrical Engineering (ICE Cube) Quetta, Pakistan Loughborough Antennas and Propagation Conference (LAPC) Loughborough, United Kingdom cfm?origin=ieee th Asia-Pacific Conference on Communications (APCC) Ningbo, China IEEE 59th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON) Riga, Latvia _ The Magazine of the IEIE 76

83 일자학술대회명개최장소홈페이지 / 연락처 IEEE Electronic Power Grid (egrid) Charleston, South Carolina, USA Asia-Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA ASC) Honolulu, Hawaii, USA World Engineering Education Forum - Global Engineering Deans Council (WEEF-GEDC) Albuquerque, New Mexico, USA rd International Conference on Information Technology, Information System and Electrical Engineering (ICITISEE) Yogyakarta, Indonesia Future Technologies Conference (FTC) Vancouver, British Columbia, Canada IEEE International Symposium on Technology and Society (ISTAS) Washington DC, District of Columbia, USA event/2018-ieee-international-symposiumtechnology-society-istas/ Cyber Resilience Conference (CRC) Putrajaya, Malaysia Global Smart Industry Conference (GloSIC) Chelyabinsk, Russia IEEE Avionics and Vehicle Fiber-Optics and Photonics Conference (AVFOP) Portland, Oregon, USA Military Communications and Information Systems Conference (MilCIS) Canberra, Australia rd Conference of Open Innovations Association (FRUCT) Bologna, Italy IEEE Nanotechnology Symposium (ANTS) Albany, New York, USA th Spanish Conference on Electron Devices (CDE) Salamanca, Spain IEEE 10th Latin-American Conference on Communications (LATINCOM) Guadalajara, Jalisco, Mexico IEEE 9th Power, Instrumentation and Measurement Meeting (EPIM) Salto, Uruguay IEEE International Multidisciplinary Conference on Engineering Technology (IMCET) Beirut, Lebanon International Conference on Fuzzy Theory and Its Applications (ifuzzy) Daegu, Korea (South) th International Conference on Emerging elearning Technologies and Applications (ICETA) Starý Smokovec, Slovakia rd International Conference on Inventive Computation Technologies (ICICT) Coimbatore, India IEEE International Conference on Communication, Networks and Satellite (Comnetsat) Medan, Indonesia International Conference In Kyrgyzstan on Wireless and Optical Communications Networks (WOCN2018KG) Bishkek, Kyrgyzstan th Edition of International Conference on Wireless Networks & Embedded Systems (WECON) Rajpura (near Chandigarh), India IEEE International Conference on Advanced Manufacturing (ICAM) Yunlin, Taiwan th International Symposium on Geoinformatics (ISyG) Malang, Indonesia IEEE International Conference on Big Knowledge (ICBK) Singapore IEEE 37th International Performance Computing and Communications Conference (IPCCC) Orlando, Florida, USA International Conference on Innovations in Information Technology (IIT) Al Ain, United Arab Emirates IEEE Symposium Series on Computational Intelligence (SSCI) Bangalore, India th International Conference on the Network of the Future (NOF) Poznan, Poland php 77 전자공학회지 _ 637

84 일자학술대회명개최장소홈페이지 / 연락처 IEEE 23rd International Conference on Digital Signal Processing (DSP) Shanghai, China IEEE CPMT Symposium Japan (ICSJ) Kyoto, Japan IEEE 7th Palestinian International Conference on Electrical and Computer Engineering (PICECE) Gaza, Palestine IEEE Conference on Big Data and Analytics (ICBDA) Langkawi Island, Malaysia IEEE Conference on Wireless Sensors (ICWiSe) Langkawi, Malaysia New Generation of CAS (NGCAS) Valletta, Malta th International Conference on ICT and Knowledge Engineering (ICT&KE) Bangkok, Thailand th International Telecommunication Networks and Applications Conference (ITNAC) Sydney, Australia IEEE International Conference on Technology Management, Operations and Decisions (ICTMOD) Marrakech, Morocco International Symposium on Advanced Electrical and Communication Technologies (ISAECT) Rabat, Morocco th Biomedical Engineering International Conference (BMEiCON) Chiang Mai, Thailand International Workshop on Computing, Electromagnetics, and Machine Intelligence (CEMi) Stellenbosch, South Africa Fourth International Conference on Research in Computational Intelligence and Communication Networks (ICRCICN) Kolkata, India rd International Conference and Workshops on Recent Advances and Innovations in Engineering (ICRAIE) Jaipur, India th International Conference on Green Technology and Sustainable Development (GTSD) Ho Chi Minh City, Vietnam International Conference on System Modeling & Advancement in Research Trends (SMART) Moradabad, India IEEE 9th International Conference on Software Engineering and Service Science (ICSESS) Beijing, China International Conference on Applied Smart Systems (ICASS) Medea, Algeria th International Conference on Communication Systems and Network Technologies (CSNT) Bhopal, India Global Wireless Summit (GWS) Chiang Rai, Thailand International Conference on Computer Engineering, Network and Intelligent Multimedia (CENIM) Surabaya, Indonesia International Conference on Signal, Image, Vision and their Applications (SIVA ) Guelma, Algeria th European Workshop on Visual Information Processing (EUVIP) Tampere, Finland IEEE Global Conference on Signal and Information Processing (GlobalSIP) Anaheim, California, USA IEEE International Forum on Smart Grids for Smart Cities (SG4SC) Genk, Belgium IEEE Student Conference on Research and Development (SCOReD) Selangor, Malaysia ITU Kaleidoscope: Machine Learning for a 5G Future (ITU K) Santa Fe, Argentina th International Symposium on Chinese Spoken Language Processing (ISCSLP) Taipei City, Taiwan IEEE 5th International Conference on Smart Instrumentation, Measurement and Application (ICSIMA) Bangkok, Thailand _ The Magazine of the IEIE 78

85 일자학술대회명개최장소홈페이지 / 연락처 th IEEE International Conference on Advanced Video and Signal Based Surveillance (AVSS) Auckland, New Zealand IEEE Real-Time Systems Symposium (RTSS) Nashville, Tennessee, USA International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS) 2018 Congreso Argentino de Ciencias de la Informática y Desarrollos de Investigación (CACIDI) 2018 IEEE MTT-S International Microwave and RF Conference (IMaRC) th National and 3rd International Iranian Conference on Biomedical Engineering (ICBME) Ishigaki, Okinawa, Japan Ciudad Autónoma de Buenos Aires, Argentina Kolkata, India Qom, Iran IEEE Women in Engineering (WIE) Forum USA East White Plains, New York, USA IEEE 10th International Conference on Humanoid, Nanotechnology, Information Technology,Communication and Control, Environment and Management (HNICEM) Baguio City, Philippines IEEE International Electron Devices Meeting (IEDM) San Francisco, California, USA 79 전자공학회지 _ 639

86 The Magazine of the IEIE 특별회원사및후원사명단 회원명 대표자 주소 전화 홈페이지 AP 위성통신 류장수 서울시금천구가산디지털2로 98 2동 9층 FCI 한상우 경기도성남시분당구판교로 255번길 35( 삼평동 ) 실리콘파크 B동 7층 I&C테크놀로지 박창일 경기도성남시분당구판교로 255번길 24 아이앤씨빌딩 KT 황창규 경기도성남시분당구정자동 LDT 정재천 충남천안시서북구한들1로 WE빌딩 LG전자 구본준 서울시영등포구여의도동 LIG 넥스원 이효구 서울시서초구강남대로 369( 서초동, 나라빌딩 ) RadioPulse 권태휘 경기도성남시분당구대왕판교로 660 유스페이스1A 1106호 ( 삼평동 ) SK Telecom 장동현 서울특별시중구을지로65( 을지로2가 ) SK T-타워 SK 하이닉스 박성욱 경기도이천시부발읍아미리산 국제종합측기 박재욱 서울특별시강남구강남대로 354 ( 역삼동 831, 혜천빌딩 10F, 12F) 나노종합기술원 이재영 대전광역시유성구대학로 291 ( 구성동, 한국과학기술원 ) 네이버 김상헌 경기도성남시분당구불정로 6 ( 정자동그린팩토리 ) 넥서스칩스 Douglas M. Lee 서울시강남구역삼동 넥스트칩 김경수 경기도성남시분당구판교로 323 벤처포럼빌딩 넥스파시스템 이상준 서울특별시성동구자동차시장1길 누리미디어 최순일 서울시영등포구선유로 63, 4층 ( 문래동 6가 ) 다빛센스 강영진 경기도성남시중원구사기막골로 124, Skn테크노파크비즈동 다우인큐브 이예구 경기도용인시수지구디지털벨리로 81 ( 죽전동디지털스퀘어 2층 ) 대구테크노파크 송인섭 대구시달서구대천동 대덕G.D.S 이희준 경기도안산시단원구산단로 63( 원시동 ) 대덕전자 김영재 경기도시흥시소망공원로 335 ( 정왕동 ) 대성전기 이철우 경기도안산시단원구산단로 31 ( 원시동, 8-27블럭 ) ( 재 ) 대전테크노파크 권선택 대전시유성구테크노9로 35 대전테크노파크 더즈텍 김태진 경기도안양시동안구학의로 292 금강펜테리움IT타워 A동 1061호 덴소풍성전자 김경섭 경남창원시성산구외동 동부하이텍 최창식 경기도부천시원미구수도로 동아일렉콤 손성호 경기도용인시처인구양지면남곡로 동운아나텍 김동철 서울시서초구서초동 아리랑타워 9층 디엠티 김홍주 대전광역시유성구테크노11로 라온텍 김보은 경기도성남시분당구황새울로360번길 42, 18층 ( 서현동 AK플라자 ) 라이트웍스 서인식 서울강남구테헤란로88길 14, 4층 ( 신도리코빌딩 ) 만도 성일모 경기도성남시분당구판교로 255번길 문화방송 안광한 서울시마포구성암로 삼성전자 김기남, 김현석, 고동진서울시서초구서초2동 삼성전자빌딩 삼화콘덴서 황호진 경기도용인시처인구남사면경기동로 227 ( 남사면북리 124) 서연전자 조명수 경기도안산시단원구신원로 세미솔루션 이정원 경기도용인시기흥구영덕동 1029 흥덕U타워지식산업센터 20층 2005호 세원텔레텍 김철동 경기도안양시만안구전파로44번길 수호이미지테크놀로지 김범준 서울시금천구가산동가산디지털1로 233 에이스하이엔드 9차 816호 _ The Magazine of the IEIE 80

87 회원명 대표자 주소 전화 홈페이지 스카이크로스코리아 조영민 경기수원시영통구영통동 디지털엠파이어빌딩 C동 801호 ( 주 ) 시솔 이우규 서울시강서구공항대로 61길 29 서울신기술센터 A동 202호 실리콘마이터스 허염 경기도성남시분당구대왕판교로 660 유스페이스-1 A동 8층 실리콘웍스 한대근 대전시유성구탑립동 싸인텔레콤 성기빈 서울시영등포구경인로 775, 문래동 3가에이스하이테크시티 1동 119호 ( 주 ) 쏠리드 정준, 이승희 경기도성남시분당구판교역로 220 쏠리드스페이스 씨자인 김정표 경기성남시분당구구미동 보명프라자 아나패스 이경호 서울시구로구구로동 신세계아이앤씨디지털센타 7층 아바고테크놀로지스 전성민 서울시서초구양재동 아이닉스 황정현 수원시영동구덕영대로 1556번길 16, C동 1004호 ( 영통동, 디지털엠파이어 ) 아이디어 황진벽 서울마포구연남동 번지대원빌딩 5층 아이언디바이스 박기태 서울강남구신사동 예영빌딩 402호 아이에이 김동진 서울송파구송파대로 22길 5-23 ( 문정동 ) 안리쓰코퍼레이션 토루와키나가 경기도성남시분당구삼평동 681번지 H스퀘어 N동 5층 502호 알파스캔디스플레이 류영렬 서울특별시강서구허준로 217 가양테크노타운 202호 에디텍 정영교 경기도성남시분당구삼평동 621번지판교이노벨리 B동 1003호 에스넷시스템 박효대 서울특별시강남구선릉로 514 ( 삼성동 ) 성원빌딩 10층 에스엘 이충곤 경북경산시진량읍신상리 에이치앤티테크 강임성 대전광역시유성구용산동 에이투테크 김현균 경기도성남시수정구복정동 상헌빌딩 3층 엠텍비젼 이성민 경기도성남시분당구판교로 255번길 58 6층 601호 오픈링크시스템 성재용 광주광역시서구치평로 112 정연하이빌 402호 우양신소재 윤주영 대구광역시북구유통단지로 8길 유라코퍼레이션 엄병윤 경기도성남시분당구삼평동 유텔 김호동 경기도군포시당정동 이노피아테크 장만호 경기도상남시중원구갈마치로 215 A동 405호 주식회사이디 박용후 경기도성남시중원구상대원동 ( 둔촌대로457번길 14) 자람테크놀로지 백준현 경기도성남시분당구야탑동 파인벤처빌딩 2층 , 전자부품연구원 박청원 경기도성남시분당구새나리로 25 ( 야탑동 ) 주식회사제이엔티이엔지 최승훈 경기도성남시중원구사기막골로 148, 701호 ( 상대원동, 중앙이노테크 ) 제퍼로직 정종척 서울강남구역삼1동 아주빌딩 1801호 지에스인스트루먼트 고재목 인천시남구길파로71번길 70 ( 주안동 ) 지엠테스트 고상현 충남천안시서북구직산읍군서1길 19( 군서리 134) 충북테크노파크 남창현 충북청주시청원구오창읍연구단지로 케이던스코리아 ( 유 ) 제임스해댓 경기도성남시분당구판교로 344 엠텍IT타워 9층 (main office)/2층 코아리버 배종홍 서울시송파구가락본동 78번지 IT벤처타워서관 11층 콘티넨탈오토모티브시스템선우현 경기도성남시분당구판교역로 220 솔리드스페이스빌딩 클레어픽셀 정헌준 경기도성남시분당구판교로 242 판교디지털센터 A동 301호 키움인베스트먼트 박상조 서울특별시영등포구여의나루로4길 18 키움파이낸스스퀘어빌딩 16층 텔레칩스 이장규 서울특별시송파구올림픽로35다길 42 ( 신천동, 루터빌딩 19층 ~23층 ) 티에이치엔 채석 대구시달서구갈산동 티엘아이 김달수 경기도성남시중원구양현로 405번길 12 티엘아이빌딩 파워큐브세미 강태영 경기도부천시오정구석천로397( 부천테크노파크쌍용3차 ) 103동 901호 페어차일드코리아반도체 김귀남 경기도부천시원미구도당동 전자공학회지 _ 641

전자공학회지 2014.2월호 시안최종2:레이아웃 1 14. 02. 20 오?? 5:18 페이지 1 ISSN 1016-9288 제41권 2호 2014년 2월호 The Magazine of the IEIE 제 4 1 권 제 2 호 ( 2 0 1 4 년 2 월 ) 융 합 영 상 진 단 vol.41. no.2 융합영상진단 및 영상유도 치료 기술 동향 융합영상진단 및

More information

전자공학회지 2014.1월호 시안최종:레이아웃 1 14. 01. 21 오?? 3:24 페이지 1 ISSN 1016-9288 제41권 1호 2014년 1월호 The Magazine of the IEIE 제 4 1 권 vol.41. no.1 제 1 호 ( 2 0 1 4 년 1 월 ) 자 동 차 자동차 전자 자율주행 자동차 개발현황 및 시사점 차량/운전자 협력 자율주행

More information

Introduction to Deep learning

Introduction to Deep learning Introduction to Deep learning Youngpyo Ryu 동국대학교수학과대학원응용수학석사재학 youngpyoryu@dongguk.edu 2018 년 6 월 30 일 Youngpyo Ryu (Dongguk Univ) 2018 Daegu University Bigdata Camp 2018 년 6 월 30 일 1 / 66 Overview 1 Neuron

More information

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 (

2016 학년도대학별논술고사일정 대학명 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 2016 학년도대학별논술고사일정 논술고사시행일 가톨릭대 [ 일반 ] 10 월 11 일 ( 일 ) / [ 의예 ] 11 월 15 일 ( 일 ) 건국대 [ 인문 ] 10 월 9 일 ( 금 ) / [ 자연 ] 10 월 10 일 ( 토 ) 경기대 10 월 18 일 ( 일 ) 경북대 11 월 21 일 ( 토 ) 경희대 [ 자연 1, 인문, 예체능 ] 11 월 14(

More information

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다.

제 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-9 호 소속 : 동신대학교 성명 : 유근창 귀하를본학회총무이사로위촉합니다. 제 2015-10 호 소속 : 을지대학교 성명 : 임현성 귀하를본학회재무이사로위촉합니다. 제 2015-11 호 소속 : 대전보건대학교 성명 : 백승선 귀하를본학회사업이사로위촉합니다. 제 2015-12 호 소속 : 부산과학기술대학교 성명 : 신장철 귀하를본학회법제이사로위촉합니다.

More information

098-103감사인사

098-103감사인사 감사인 소식 ❶ 감 사 원 2016년 고위감사공무원 등 임명장 수여 승 김주성, 한상준, 고현정, 김창우, 이태훈, 신영은, 진 2015. 11. 1. 한민주, 이덕영, 김호준, 이철재, 함유진, 정진화, 부감사관 김현태, 한승목, 윤일기, 정용현 유희연, 이은정 2016. 1. 18. 일반직고위감사공무원 IT감사단장 이남구 방송통신주사 이유리 일반직고위감사공무원

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 6호 2016년 6월호 제 4 3 권 제 6 호 The Magazine of the IEIE vol.43. no.6 ( ) 2 0 1 6 년 6 월 스 마 트 공 장 동 향 및 이 슈 스마트 공장 동향 및 이슈 스마트공장 기술 동향 및 R&D로드맵 스마트 공장을 위한 사물인터넷 기술 동향 스마트공장 표준화 동향과 시스템 구조

More information

1111

1111 4 분당판 20권 21호 2014년 5월 25일 생명순활동상활 생명순활동상황 생명순 보고는 토요일 오전까지 마쳐주십시오. 보고자 : 김연호 목사 010-9251-5245 보고 : 각 교구 조장님께서 교구 사역자에게 보고해 주세요. 분당판 20권 21호 2014년 5월 25일 생명순활동상황 전도실적은 전도 한 분이 소속한 교구의 생명순에 전도한 인원수를 추가합니다.

More information

ÈûÂù100102

ÈûÂù100102 www.himchanhospital.com 2010 01+02 www.himchanhospital.com Contents Himchan People 02 04 07 08 10 12 14 15 16 17 18 Himchan network 19 20 22 Special Theme 04 + 05 06 + 07 } 08 + 09 I n t e r v i e w 10

More information

0904-6부 tta부록

0904-6부 tta부록 1988 6부 Appendix 부록 2008 우리 경제가 이처럼 비약적인 성장을 거듭할 수 있었던 중요한 요소 중, 하나를 꼽으라고 한다면 단연 정보통신기술의 발전을 빼놓을 수 없을 것이다. 현재의 대한민국은 세계 IT시장의 변화를 이끌어가는 명실상부한 경쟁력을 자랑하고 있다. 연표 정보통신표준 제 개정 현황 임원 부서장 표준 제 개정 및 폐지 절차 이사회

More information

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인

학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대 ) 신현기 ( 단국대 ) 신현석 ( 고려대 ) 이윤경 ( 서원대 ) 이일용 ( 중앙대 ) 정정진 ( 강남대 ) 조동섭 ( 경인 2009 년제 56 차교원교육학술대회 교원성과상여금제도의 진단및개선방향 일시 : 2009 년 12 월 4 일 [ 금 ] 13:00-17:10 장소 : 서울교육대학교전산관 1 층 후원 : 교육과학기술부 한국교원교육학회 학술대회준비위원 회장 : 이윤식 ( 인천대 ) 수석부회장 : 송광용 ( 서울교대 ) 부회장 : 박영숙 ( 한국교육개발원 ) 박은혜 ( 이화여대

More information

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도

2017년도 임원 및 각 위원회 위원 2017년도 회비납부 안내 1. 회비의 납부 및 유효기간 2017년도 회원 연회비는 2016년과 동일함을 알려드리며, 2017년도 회비는 2016년 12월부터 2017년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2017년도 ISSN 1016-9288 제44권 1호 2017 년 1 월호 The Magazine of the IEIE vol.44. no.1 반도체산업의두번째도약 지능형 SoC 와그응용 나노공정시대의아날로그반도체기술 융합기술시대에서반도체의역할 Fabless 산업과반도체산업의도약 반도체설계교육센터 (IDEC) 와반도체산업 www.theieie.org 2017년도 임원 및

More information

ISSN 1016-9288 제45권 6호 2018 년 6 월호 The Magazine of the IEIE vol.45. no.6 4 차산업혁명과스마트제조기술및서비스동향 스마트공장표준화필요성및국내 외표준화동향 Industry 4.0을위한가변재구성제조시스템 (RMS4.0) 스마트공장정책및산업동향 스마트팩토리공급산업육성을위한테스트베드구축사례연구 스마트팩토리를위한가변재구성유연생산기술

More information

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연

이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연 이발간물은국방부산하공익재단법인한국군사문제연구원에서 매월개최되는국방 군사정책포럼에서의논의를참고로작성되었습니다. 일시 2017. 6. 22 장소주관발표토론간사참관 한국군사문제연구원오창환한국군사문제연구원장허남성박사 KIMA 전문연구위원, 국방대명예교수김충남박사 KIMA객원연구위원송대성박사前 ) 세종연구소소장방효복예 ) 중장前 ) 국방대학교총장남성욱박사고려대행정전문대학원장이원우박사前

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2019년도 회비납부 안내 1. 회비의 납부 및 유효기간 2019년도 회원 연회비는 2018년과 동일함을 알려드리며, 아직 2019년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 12호 2018 년 12 월호 The Magazine of the IEIE vol.45. no.12 스마트웨어러블기기에대한기술동향및전망 스마트웨어러블기기의 IEC 국제표준화동향 스마트웨어러블기기를위한전기변색기술동향 스마트의류시장현황및기술이슈 E-textiles 표준화동향 스마트웨어러블기기를위한형태가변형디스플레이기술동향및전망

More information

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도

2018년도 임원 및 각 위원회 위원 2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 2월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 ISSN 1016-9288 제45권 1호 2018 년 1 월호 The Magazine of the IEIE vol.45. no.1 AI( 인공지능 ) 반도체 머신러닝가속기연구동향 효율적메모리관리를통한모바일 CNN 가속기의최적화 에너지고효율인공지능하드웨어 인공지능하드웨어설계및최적화기술 뉴로모픽컴퓨팅기술 인공지능반도체 NM500 www.theieie.org 2018년도

More information

경남도립거창대학 5 개년발전전략 GPS 2020( 안 )

경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 경남도립거창대학 5 개년발전전략 GPS 2020( 안 ) 2016. 3 GPS 2020 본과업은대학발전 TASK FORCE 팀에 의하여연구되었습니다. 2016. 3 경남도립거창대학 5 개년발전전략 GPS 2020 Ⅰ 1. 8 2. 8 3. 12 4. 13 5. 14 6. 16 7. 17 Ⅱ 1. 20 2. 21 3. 24 4. 25 Ⅲ 1. 28 2. 29

More information

08학술프로그램

08학술프로그램 www.kafle.or.kr Foreign Language Teachers Expertise 01 01 02 03 04 05 06 07 한국외국어교육학회 2008년 겨울학술대회 학술대회 관련 문의 좌장: 이강국 (대학원 309호) 13:30~14:00 명사구 내 형용사의 위치와 의미 유은정 이상현 곽재용 14:00~14:30 스페인어 문자체계의 발달과정 연구

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사

ISSN 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사 ISSN 1016-9288 제44권 6호 2017 년 6 월호 The Magazine of the IEIE vol.44. no.6 고효율디지털신호처리용 VLSI 설계기술 에너지효율적인이미지프로세서를위한 Approximate Computing 고효율디지털신호처리를위한근사곱셈기설계 저전력디지털신호처리가속기설계기술 CORDIC 을이용한저면적, 저전력신호처리 VLSI

More information

RR hwp

RR hwp 국가 e- 러닝품질관리센터운영을위한 e- 러닝품질관리가이드라인 연구책임자 연구협력관 도움을주신분들 김선태 ( 한국직업능력개발원 ) 김재현 ( 부산교육연구정보원 ) 김태민 ( 인천교육과학연구원 ) 김홍래 ( 춘천교육대학교 ) 문수영 ( 크레듀 ) 박상섭 ( 경남교육과학연구원 ) 박치동 ( 서울시교육청 ) 서유경 ( 한국교육과정평가원 ) 서준호 ( 한국교원연수원

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

ISSN 1016-9288 제44권 5호 2017 년 5 월호 The Magazine of the IEIE vol.44. no.5 IoT 보안기술의동향및위협 IoT 보안이슈및국내외보안기술개발동향 IoT 융합보안의동향분석및보안강화방안 IoT 용보안기능내장칩과현황소개 IoT 및센서기반자동채점운전면허시험개선프로그램개발과현장적용방안 산업용사물인터넷 (IIoT) 시장전망과기술동향

More information

01....-1

01....-1 ISSN 1016-9288 제41권 7호 2014년 7월호 제 4 1 권 제 7 호 ( ) 2 0 1 4 년 7 월 E M P 영 향 과 필 터 개 발 동 향 The Magazine of the IEIE EMP 영향과 필터 개발동향 vol.41. no.7 전자부품에 미치는 고에너지 전자기파의 영향 전도성 전자파 해석 연구 동향 분석 HEMP 필터 개발 동향

More information

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And

전기공학과 3 대표학생 11 전기장인 정찬호 전기공학과 3 구성원 전기공학과 3 구성원 전기공학과 3 구성원 정보통신공학과 4 대표학생 12 GAG(Get And 2017 학년도 IT 융합학습동아리 2 차선정자명단 번호 팀명 지도교수 번호 학번 학과 학년 비고 지원금액 ( 원 ) 1 20164047 전기공학과 2 대표학생 2 20164043 전기공학과 2 구성원 1 오합지졸 김기찬 3 20161435 전기공학과 2 구성원 4 20161464 전기공학과 2 구성원 5 20161466 전기공학과 2 구성원 6 20141935

More information

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf 2015 학년도 논술 가이드북 K Y U N G H E E U N I V E R S I T Y 2015 학년도수시모집논술우수자전형 1. 전형일정 논술고사 구분 일정 원서접수 2014. 9. 11( 목 ) 10:00 ~ 15( 월 ) 17:00 고사장확인 2014. 11. 12( 수 ) 전형일 2014. 11. 15( 토 ) ~ 16( 일 ) 합격자발표 2014.

More information

41-5....

41-5.... ISSN 1016-9288 제41권 5호 2014년 5월호 제 4 1 권 제 5 호 ( ) 2 0 1 4 년 5 월 SSD (Solid State Drive) The Magazine of the IEIE vol.41. no.5 SSD (Solid State Drive) SSD (Solid State Drive)를 이루는 기술과 미래 SSD의 등장에 따른 OS의

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 2018년도 회비는 2017년 12월부터 2018년 1월까지 납부하도록 되어 있습니다. 따라서 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납 ISSN 1016-9288 제44권 12호 2017 년 12 월호 The Magazine of the IEIE vol.44. no.12 고령친화산업기술개발동향 고령친화산업시장현황및전망 사례중심의고령친화제품기술동향 고령사회시대중재적간호를위한지능형욕창방지매트리스 고령자의보행을위한고령친화용품의개발 고령친화형가정식대체식품동향 www.theieie.org 2018년도

More information

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울

서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference The Quality of life in Mega City and Happiness of Seoul 메가시티의삶의질과행복서울 서울서베이국제컨퍼런스 Seoul Survey International Conference 일 시 2014년 3월 6일 ( 목 ) 14:00-17:20

More information

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton

Optical Society of Korea Winter Meeting 2018 분과별특별심포지엄 2DMaterialPhotonics ~1500 KAIST 김영덕 ( 경희대 ) 이지은 ( 아주대 ) 김종환 ( 포항공대 ) 2DMaterialPhoton 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Sang-IlSeok

More information

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi

분과별특별심포지엄 2DMaterialPhotonics KAIST ~1500 2DMaterialPhotonics 김종환 ( 포항공대 ) 김영덕 ( 경희대 ) 이지은 ( 아주대 ) OpticalStudiesofSpin/ValleyStatesinTransi 2018 한국광학회동계학술발표회하이라이트 총회초청강연 (Plenary Presentations) 2018280900~1125February8Thursday0900~1125 StephenFantone OSA2018VicePresident OpticalEngineeringand ProblemSolvingin CommercialApplications Tae-HoonYoon

More information

1842È£-º»¹®

1842È£-º»¹® 제1842호 2007. 4. 23 사랑받은 50년! 정성으로 보답하겠습니다 가톨릭중앙의료원 개원기념식 거행 (의료원/성모/강남성모/의정부성모병원) 가톨릭중앙의료원 개원기념식 2007년 5월 3일 (목) 10:30 ~, 가톨릭의과학연구원 2층 대강당 가톨릭의대 성모병원 개원71주년 기념식 2007년 5월 3일(목) 7:50~, 성모병원(여의도) 본관 4층 강당

More information

°ÇÃà8¿ùÈ£Ãâ·Â

°ÇÃà8¿ùÈ£Ãâ·Â 2010 FIFA WORLD CUP SOUTH AFRICA 2 I I 3 4 I I 5 6 I I 7 8 I I 9 10 I NEWS 2010년 7월 10일(토) 지난 6월 21일 발표된 '제23회 광주광 역시 미술대전' 심사결과 건축 부문의 44점 출품 작품 중, 대상에 김아름, 김 지인, 한강우 팀이 출품한 The line : Time passage 이

More information

전자공학회지.11월호_레이아웃 1 16. 11. 22 오전 10:47 페이지 2 ISSN 1016-9288 제43권 11호 2016년 11월호 The Magazine of the IEIE 제 4 3 권 제 11 호 vol.43. no.11 ( 2 0 1 6 년 11 월 머신 러닝 기반 머신 비젼 최신 기술 동향 딥러닝 기법을 이용한 머신 비젼 기술 최근 응용

More information

ISSN 1016-9288 제44권 10호 2017 년 10 월호 The Magazine of the IEIE vol.44. no.10 미래유망기술표준및시험인증동향 유망시험인증서비스전략로드맵 대용량에너지저장장치안전성시험 국내주요정보보호의무제도동향 가상현실표준화현황사용자이용을중심으로 항공분야극한전자기환경극복기술시험평가기술동향 www.theieie.org Contents

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리

2016년도 대한전자공학회 학회상 및 해동상 후보자 추천 사단법인 대한전자공학회에서는 매년 전자 정보 통신 분야에 탁월한 업적을 이루고 전자공학의 발전에 크게 공헌한 분에게 학회상 및 해동상을 아래와 같이 시상하고 있습니다. 해동상은 대덕전자(주) 김정식 회장께서 우리 ISSN 1016-9288 제43권 4호 2016 년 4 월호 The Magazine of the IEIE vol.43. no.4 5G 이동통신동향 : 서비스, 표준화, 유망기술 5G 서비스에서의패러다임시프트 5G 이동통신표준화및주파수동향 Effective Self-interference Cancellation for In-band Full-duplex Massive

More information

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크

제 10 회전국보치아선수권대회 Individual BC1 Pool A Standing Seed No Name Team Game Won Lost PF PA Avg Pts Rank 유원종 여수동백원 이유진 모자이크 Individual BC1 Pool A Standing 1 101 유원종 여수동백원 3 1 1 8 8 0 2 114 이유진 모자이크 3 1 1 4 2 0.666666667 3 115 박상호 경남부치소 3 1 1 5 6-0.333333333 4 128 권오찬 충남보치아연맹 3 1 1 5 6-0.333333333 Individual BC1 Pool A Game

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

필수연구자료들의가치를높여줄최상의플랫폼을소개합니다. 검색, 문제해결, 의사결정지원분석기능까지모두갖춘만능솔루션 Authorized Dealer in Korea http://www.kitis.co.kr TEL. 02-3474-5290( 代 ) Contents 제 43 권 8 호 (2016 년 8 월 ) 대한전자공학회 70 년사 12 대한민국광복 71 년, 대한전자공학회

More information

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 1 2 3 4 5 6 7 8 9 9 2010.1 Fashionbiz

More information

1-1-basic-43p

1-1-basic-43p A Basic Introduction to Artificial Neural Network (ANN) 도대체인공신경망이란무엇인가? INDEX. Introduction to Artificial neural networks 2. Perceptron 3. Backpropagation Neural Network 4. Hopfield memory 5. Self Organizing

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 3호 2018 년 3 월호 The Magazine of the IEIE vol.45. no.3 최신의료진단기술및동향 생체전기물성기반암진단및치료효과모니터링연구 스펙트럼전산화단층촬영의적용및최신동향 흉부디지털단층영상합성시스템소개및최근연구동향 핵의학 PET/CT 의임상적용 헬스케어웨어러블디바이스의기술및시장동향 www.theieie.org

More information

41-4....

41-4.... ISSN 1016-9288 제41권 4호 2014년 4월호 제 4 1 권 제 4 호 ( ) 2 0 1 4 년 4 월 차 세 대 컴 퓨 팅 보 안 기 술 The Magazine of the IEIE 차세대 컴퓨팅 보안기술 vol.41. no.4 새롭게 진화하는 위협의 패러다임 - 지능형 지속 위협(APT) 인터넷을 통해 유포되는 악성 프로그램 대응전략 차세대

More information

ISSN 1016-9288 제45권 10호 2018 년 10 월호 The Magazine of the IEIE vol.45. no.10 가상현실 (VR) 을위한통신기술 무선 VR 서비스를위한통신네트워크시스템고선명영상지원초저지연무선 VR 전송기술무선 VR 플랫폼기술동향과전망무선 VR을위한무선랜표준기술분석가상현실 VR 오디오 VR 콘텐츠어지럼증의유발요인에대한이해와저감방안

More information

ISSN 1016-9288 제45권 9호 2018 년 9 월호 The Magazine of the IEIE vol.45. no.9 4 차산업혁명시대의로봇산업 4차산업혁명과로봇산업 소프트로보틱스와피킹기술 4차산업혁명시대의소셜로봇현황과미래전망 드론용구동모듈의기술동향 상지절단자를위한재활보조장치의국내외제품현황및연구동향 www.theieie.org Contents

More information

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E

전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 D 전기기기 서은성 A PLC및실습 (시간) 정호성 E 전기기기 서은성 F 전기기기 서은성 D 교류회로 김원욱 A PLC기초및실습 임창수 A 프레스금형 이병철 A JAVA및실습 (시간) 강익태 E 전자전기계열 기계조선자동차계열 기계공학과 산업정보디자인계열 스마트융합계열 B PLC (시간) 이기태 A 열전달 김상윤 A 산업디자인 (시간) 최병오 B 전기기기실험 정대성 D 전기기기실험 정대성 B 열전달 김상윤 E 전기기기실험 정대성 C 열전달 김상윤 F 전기기기실험 정대성 D 기계요소설계 이동호 E 기계요소설계 이동호 F 기계요소설계 이동호 A 영어회화

More information

04 특집

04 특집 특집 도서관문화 Vol.51 NO.5(2010.5) 시작하는 말 18 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크란? 19 도서관문화 Vol.51 NO.5(2010.5) 20 특집 : 소셜 네트워크를 활용한 도서관 서비스 소셜 네트워크, 환경에 따라 변모하다 21 도서관문화 Vol.51 NO.5(2010.5) 소셜 네트워크와 도서관을 결합시키다

More information

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o

::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Match Team Statistics :50 원광대체육관 Referees : Technical o 2019. 05. 18 ::: Korea Handball Federation ::: [ 대학핸드볼리그 ( 대학부 ) ] Team Statistics 2019. 05. 18 15:50 원광대체육관 Referees : Technical officer : MVP : - 원광대학교 조선대학교 22 7 : 12 15 : 12 24 원광대학교 Player Shots Offence

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

???춍??숏

???춍??숏 Suseong gu Council Daegu Metropolitan City www.suseongcouncil.daegu.kr Contents SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY 10 www.suseongcouncil.daegu.kr 11 SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY

More information

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위

ISSN 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위 ISSN 1016-9288 제43권 9호 2016 년 9 월호 The Magazine of the IEIE vol.43. no.9 로봇산업과에듀케이션 중소로봇기업의시장개척방안과지원정책 로봇융합부품산업및기술동향 부천로봇산업육성과성과분석 교육용로봇의현황과미래 의공학교육을위한 LEGO Mindstorms EV3 연구 www.theieie.org Together,

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이

2018년도 회비납부 안내 1. 회비의 납부 및 유효기간 2018년도 회원 연회비는 2017년과 동일함을 알려드리며, 아직 2018년도 회비를 납부하지 않으신 회원님께서는 납부하여 주시기 바라며, 연회비의 유효기간은 회비를 납부한 당해연도에 한합니다. 평생회원님 및 이 ISSN 1016-9288 제45권 2호 2018 년 2 월호 The Magazine of the IEIE vol.45. no.2 차세대동영상압축기술 JVET 차세대비디오부호화표준화동향 차세대비디오부호화의블록분할구조 차세대비디오부호화를위한 JVET 인트라예측기술 FVC 기술소개 - JVET Inter Prediction MPEG-FVC 의딥러닝응용비디오압축기술

More information

ISSN 1016-9288 제45권 5호 2018 년 5 월호 The Magazine of the IEIE vol.45. no.5 수중 IoT(UIoT:Underwater Internet of Things) 수중통신개요 수중기지국기반수중음파통신기술과활용분야 수중음파통신기술과동향 수중무선광통신기술동향 수중극저주파 (Extremely Low Frequency)

More information

수의대소식지5호(10)

수의대소식지5호(10) College of Veterinary Medicine, Seoul National University Newsletter September 2014 No. 5 Tel. 02-880-1231 http://vet.snu.ac.kr CONTENTS 1 7 17 2 9 3 10 No. 5, 2014. 9 2 College of Veterinary Medicine,

More information

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도

The Magazine of the IEIE 2015년도 임원 및 각 위원회 위원 2015년도 회비납부 안내 1. 회비의 납부 및 유효기간 2015년도 회원 연회비는 2014년과 동일함을 알려드리며, 2015년도 회비는 2014년 12월부터 2015년 2월까지 납부하도 제 4 2 권 제 1 호 ( ) 2 0 1 5 년 1 월 도체소ISSN 1016-9288 제42권 1호 The Magazine of the IEIE 반도체소자제조기술동향 GaN(Gallium Nitride) 기반전력소자제작기술개발현황 고출력 LED 조명개발및기술개발동향 OLED 기술개발및공정기술동향과시장전망 CMOS Image Sensor(CIS) 제작기술동향

More information

ISSN 1016-9288 제45권 8호 2018 년 8 월호 The Magazine of the IEIE vol.45. no.8 AR/VR 을위한비전기술및 Deep Learning 최신동향 딥러닝을이용한영상기반 3차원얼굴복원기법의기술동향 시선추적기술의소개 360 도영상을이용한 AR/VR 콘텐츠생성 저용량프로세서를위한딥러닝레이어압축기법과응용 의미적분할을위한약지도기계학습

More information

감사회보 5월

감사회보 5월 contents 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 동정 및 안내 상장회사감사회 제173차 조찬강연 개최 상장회사감사회 제174차 조찬강연 개최 및 참가 안내 100년 기업을 위한 기업조직의 역 량과 경영리더의 역할의 중요성 등 장수기업의 변화경영을 오랫동안 연구해 온 윤정구 이화여자대학교

More information

<91E6308FCD5F96DA8E9F2E706466>

<91E6308FCD5F96DA8E9F2E706466> 㓙 ࡐ ࡓ 㧢 㧝 ޓ ㅢ 㓙 ࡐ ࡓ 㓙 ࡐ ࡓ Si 8th Int. Conf. on Si Epitaxy and Hetero- structures (ICSI-8) & 6th Int. Symp. Control of Semiconductor Interfaces 25 6 2 6 5 250 Si 2 19 50 85 172 Si SiGeC Thin Solid Films

More information

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, 2018 1 1.1 Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 6.5에서 찾아볼 수 있다. http://incompleteideas.net/book/bookdraft2017nov5.pdf

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 5 호 2015 년 5 월호 The Magazine of the IEIE vol.42. no.5 차세대멀티미디어서비스를위한기술 실감형원격영상회의를위한시선맞춤기술 Computer Vision 연구자가 Deep Learning 의시대를사는법 실감형컨텐츠를위한무선접속기술 랜덤워크를이용한영상멀티미디어데이터의중요도검출연구 사이버물리멀티미디어시스템활용서비스와통신기술분석

More information

1362È£ 1¸é

1362È£ 1¸é www.ex-police.or.kr 2 3 4 5 6 7 시도 경우회 소식 2008年 4月 10日 木曜日 제1362호 전국 지역회 총회 일제 개최 전남영광 경우회 경북구미 경우회 서울양천 경우회 경남마산중부 경우회 경북예천 경우회 서울동대문 경우회 충남연기 경우회 충남예산 경우회 충남홍성 경우회 대전둔산 경우회 충북제천 경우회 서울수서 경우회 부산 참전경찰회(부산진)

More information

ISSN 1016-9288 제44권 7호 2017 년 7 월호 The Magazine of the IEIE vol.44. no.7 최신무선통신기술및표준화동향 차세대이동통신시스템에서의위치측위기술및표준화동향 IMT-2020 5G 서비스용후보대역결정을위한공유연구동향 802.11P 기반차량간통신환경에서도플러효과극복을위한고성능채널추정방법에관한연구동향 RF 무선전력전송기술과관련무선통신연구동향

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

Untitled-1

Untitled-1 영역별 욕구조사 설문지 예시 자료 3 장애인영역 평택시 사회복지시설 욕구조사 실무도움서 _ 201 202 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 2 3 평택시 사회복지시설 욕구조사 실무도움서 _ 203 204 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 4 평택시 사회복지시설 욕구조사 실무도움서 _ 205 2 3 4 5 6 7

More information

0-학기기말고사시간표 (/) A 강민수 A0 공업수학 강민수 A 임창수 A0 PLC기초및실습 임창수 SW전공 B 정호성 I0 JavaScript실습 (시간) 정호성 A 김휘동 A0 사출금형 김휘동 B 김원욱 A0 공업수학 강민수 B 김동근 A0 PLC기초및실습 임창수

0-학기기말고사시간표 (/) A 강민수 A0 공업수학 강민수 A 임창수 A0 PLC기초및실습 임창수 SW전공 B 정호성 I0 JavaScript실습 (시간) 정호성 A 김휘동 A0 사출금형 김휘동 B 김원욱 A0 공업수학 강민수 B 김동근 A0 PLC기초및실습 임창수 0-학기기말고사시간표 (/) A 노기준 A0 의사소통능력 노기준 A 하종근 A0 설계제도응용 김종겸 A 최병오 S0 산업디자인기초 (시간) 최병오 SW전공 A 강돈형 I0 대인관계와직업윤리 강돈형 A 정대성 A0 전기기기실험 정대성 A 김종겸 A0 기계제도 김종겸 B 김성진 A0 의사소통능력 노기준 B 이상규 A0 설계제도응용 김종겸 EV전공 B 홍종탁 A0

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

한국우주과학회보 한국우주과학회보 ( 표지사진설명 ) 한국우주과학회보제 15 권 2 호, 2006 년 10 월 5 한국우주과학회 2006 년가을학술발표회일정표 일시 : 2006년 10월 26일 ( 목 ) 12:00 ~ 27일 ( 금 ) 12:00 장소 : 한국천문연구원 ( 은하수홀1층소극장, 본관1층대회의실 ) 주관 : 사단법인한국우주과학회후원 : 한국과학기술단체총연합회발표논문

More information

untitled

untitled 외국인환자유치사업활성화및안전성확보방안행사개요 주최 : 보건복지부 주관 : 한국보건산업진흥원 대상 : 유치기관, 지자체, 외국인환자유치사업관심있는모든분 일정 ( 총 3시간 ) 일시장소대상지역 6.13( 수 ) 14:00 17:00 건양대병원암센터 5 층대강당대전 ( 충청 전라 ) 설명회 ( 4 회 ) 6.14( 목 ) 14:00 17:00 부산국제신문사 4 층중강당부산

More information

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도

The Magazine of the IEIE 2016년도 임원 및 각 위원회 위원 2016년도 회비납부 안내 1. 회비의 납부 및 유효기간 2016년도 회원 연회비는 2015년과 동일함을 알려드리며, 2016년도 회비는 2015년 12월부터 2016년 2월까지 납부하도 전자공학회지 2016.1월호_최종파일_레이아웃 1 16. 01. 25 오후 3:47 페이지 1 ISSN 1016-9288 제43권 1호 2016년 1월호 제 4 3 권 제 1 호 The Magazine of the IEIE vol.43. no.1 ( 2 0 1 6 년 1 월 IoT를 가능하게 하는 반도체 기술 (Semiconductor Technologies

More information

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9

대회명 : 제 8 회전라남도땅끝배전국보치아선수권대회 장 소 : 경기장 - 동백체육관 일시 : ~ 4. 9 대회명 : 장 소 : 경기장 - 동백체육관 일시 : 2017. 4. 7 ~ 4. 9 Event Total Entry Pool Number Advance Number in a pool Point Qualifier KO Position Individual BC1 - - - - - Individual BC2 - - - - - Individual BC3 43 14

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

07변성우_ok.hwp

07변성우_ok.hwp 2 : (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.631 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a), b) Metadata Management System Implementation

More information

国內唯一 日本工学. 産業技術情報図書館

国內唯一 日本工学. 産業技術情報図書館 ISSN 1016-9288 제 42 권 11 호 2015 년 11 월호 The Magazine of the IEIE 의료영상과응용방안 vol.42. no.11 레디오믹 (Radiomic) 분석과암의료영상 의료초음파영역 : 해부학적영상그이상 의료용내시경의이해와응용 CT 의원리와임상적용 영상유전학 (Imaging genetics) 을통한질병분석 www.theieie.org

More information

ISSN 1016-9288 제44권 9호 2017 년 9 월호 The Magazine of the IEIE vol.44. no.9 로봇산업의발달 지능형로봇산업산업동향과정책방향 원격조종로봇의주행을위한 3차원반구가시화시스템 뱀로봇하늘을날다 지능형 AGV 시스템을위한로드맵및원격제어기술개발사례 Make-Fail-Play 청소년들의창의성을깨우는 WRO www.theieie.org

More information

딥러닝 첫걸음

딥러닝 첫걸음 딥러닝첫걸음 4. 신경망과분류 (MultiClass) 다범주분류신경망 Categorization( 분류 ): 예측대상 = 범주 이진분류 : 예측대상범주가 2 가지인경우 출력층 node 1 개다층신경망분석 (3 장의내용 ) 다범주분류 : 예측대상범주가 3 가지이상인경우 출력층 node 2 개이상다층신경망분석 비용함수 : Softmax 함수사용 다범주분류신경망

More information

2015 년농협인재육성장학생합격자명단 정렬 : 가나다순 ( 이름 ) 순번 지역 시군 이름 성별 대학교 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여

2015 년농협인재육성장학생합격자명단 정렬 : 가나다순 ( 이름 ) 순번 지역 시군 이름 성별 대학교 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여 1 강원 철원 강선영 여 경희대학교 2 강원 횡성 강솔희 여 한양대학교 3 경기 이천 강아연 여 고려대학교 4 경기 광명 강윤균 여 서울대학교 5 경기 양평 강은혜 여 강남대학교 6 경남 거창 강인아 여 서울대학교 7 충북 단양 강재영 남 서울대학교 8 전남 순천 강종현 남 농협대학교 9 제주 서귀포 강주영 여 제주대학교 10 전남 순천 강지원 남 조선대학교

More information

2015 국민대학교 자체평가보고서

2015 국민대학교 자체평가보고서 2015 국민대학교 자체평가보고서 1 2015 국민대학교 자체평가보고서 국민대학교 개황 대학 현황 우리 대학은 민족 수난기에 조국의 광복운동을 이끌어 온 애국자 해공 신익희 선생을 비롯한 상해 임시정부 요인들이 건국에 필요한 인재를 양성하고자 설립한 해방 후 최초의 사립대학이다. 금년으로 개교 65주년을 맞이한 우리 대학교는 해공 선생의 건학이념과 성곡 선생의

More information

ISSN 1016-9288 제43권 10호 2016 년 10 월호 The Magazine of the IEIE vol.43. no.10 압축센싱을활용한통신및레이더신호처리 압축센싱기술을적용한초광대역수신기개발동향 압축센싱과광대역레이더신호획득기술 실리콘공정기술기반초고속압축센싱용수신기의집적화구현연구 데이터플로우기법이적용된 OMP 알고리즘의 HDL 구형 탐색레이더에서의압축센싱기술기반

More information

ISSN 1016-9288 제45권 4호 2018 년 4 월호 The Magazine of the IEIE vol.45. no.4 양자통신과양자컴퓨터기술 양자기술을향한양자물리학의기초개념 양자암호통신개요및기술동향 양자컴퓨터의소개및전망 결함허용양자컴퓨팅연구개발동향 양자정보이론과결함허용양자컴퓨팅 양자알고리즘개발을위한머신러닝기법 www.theieie.org Contents

More information

ISSN 1016-9288 제44권 8호 2017 년 8 월호 The Magazine of the IEIE vol.44. no.8 딥러닝을포함한인간행동인식최신기술동향 비디오기반행동인식연구동향 지능형자동차를위한야간보행자검출기술 의미론적연관성분석을위한영상정합동향 최근표정인식기술동향 무인비행체임베디드조난자탐지시스템 MPEG-I 표준과 360 도비디오콘텐츠생성 컴퓨터비전및영상처리를위한컬러시스템의이해

More information

41-9....

41-9.... ISSN 1016-9288 제41권 9호 2014년 9월호 제 4 1 권 제 9 호 ( ) 2 0 1 4 년 9 월 첨 단 전 자 시 스 템 의 산 업 기 술 The Magazine of the IEIE vol.41. no.9 첨단 전자시스템의 산업기술 R&D 전략 최신의료기기 기술 및 산업동향 시스템반도체 현황 및 경쟁력 분석 통합모듈형항공전자(IMA) 기술동향

More information

¿øÀü¼¼»ó-20È£c03ÖÁ¾š

¿øÀü¼¼»ó-20È£c03ÖÁ¾š 아름다운 사람들의 소중한 이야기- 원전세상 원전세상은 원전가족과 함께하는 건강 환경 종합지입니다. 성공노하우 대리점 탐방 원전가족한마당 또 하나의 가족 스파젠 제품리뷰 활성산소 2005 년 총권 20호 www.onejon.co.kr Contents 05 11 26 34 4 onejon world 2005 5 6 onejon world 2005 7 8 onejon

More information

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466>

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466> 11-8140242-000001-08 2013-927 2013 182 2013 182 Contents 02 16 08 10 12 18 53 25 32 63 Summer 2 0 1 3 68 40 51 57 65 72 81 90 97 103 109 94 116 123 130 140 144 148 118 154 158 163 1 2 3 4 5 8 SUMMER

More information

ISSN 1016-9288 제44권 11호 2017 년 11 월호 The Magazine of the IEIE vol.44. no.11 Artificial Intelligence, Machine Learning 그리고응용시스템 멀티미디어분석을위한기계학습 딥러닝을이용한객체분류및검출기술 뉴로닉 (NeuronlC) 새로운서비스와메모리아키텍처의변화 고성능 BCI(Brain

More information

(72) 발명자 이병근 광주 북구 첨단과기로 123, E-201 (오룡동, 광주과 학기술원) 쉐리 아흐매드 무킴 광주 북구 첨단과기로 123, E-506 (오룡동, 광주과 학기술원) 최형욱 광주 북구 첨단과기로 123, 5214호 (오룡동, 광주 과학기술원 대학원생활관

(72) 발명자 이병근 광주 북구 첨단과기로 123, E-201 (오룡동, 광주과 학기술원) 쉐리 아흐매드 무킴 광주 북구 첨단과기로 123, E-506 (오룡동, 광주과 학기술원) 최형욱 광주 북구 첨단과기로 123, 5214호 (오룡동, 광주 과학기술원 대학원생활관 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2015-0034900 (43) 공개일자 2015년04월06일 (51) 국제특허분류(Int. Cl.) G06N 3/02 (2006.01) (21) 출원번호 10-2013-0114695 (22) 출원일자 2013년09월26일 심사청구일자 없음 전체 청구항 수 : 총 20 항 (71)

More information

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원

이석빈 정보통신대학-반도체시스템공학과 (317304) 2 권기원 김동윤 정보통신대학-반도체시스템공학과 (317304) 2 권기원 최윤호 정보통신대학-반도체시스템공학과 (317304) 2 권기원 학번 이름 전공 학년 상담교수 2006312985 곽정헌 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310678 정연우 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007310952 이충의 정보통신대학-반도체시스템공학과 (317304) 4 공배선 2007311111 강민주 정보통신대학-반도체시스템공학과 (317304) 4

More information

?

? http://kfaexpo.kr/ The 40th Korea Franchise Business Expo 2017 JUNE - Vol.23 2017 JUNE - Vol.23 2017 in Busan COVER STORY SPEACIAL REPORT GUIDE POST PEOPLE & STORY ASSOCIATION NEWS Ҷ

More information

나하나로 5호

나하나로 5호 Vol 3, No. 1, June, 2009 Korean Association of CardioPulmonary Resuscitation Korean Association of CardioPulmonary Resuscitation(KACPR) Newsletter 01 02 03 04 05 2 3 4 대한심폐소생협회 소식 교육위원회 소식 일반인(초등학생/가족)을

More information

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관

세미나자료 전국초 중 고성교육담당교사워크숍 일시 ( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 세미나자료집 전국초 중 고성교육담당교사워크숍 세미나자료 전국초 중 고성교육담당교사워크숍 일시 2007.12.27( 목 ) 10:00~17:00 장소 : 한국교원대학교교원문화관 워크숍일정표 시간주제사회 10:00-10:20 등록 10:20-10:30 개회사축사 : 신인철과장 ( 교육인적자원부폭력대책팀 ) 10:30-11:10 기조강연 : 학교성교육과교사의역할

More information

< C7D0B3E2B5B C0B6C7D520C7D0BDC0B5BFBEC6B8AE2031C2F720BCB1C1A4C0DA20B8EDB4DC2E786C7378>

< C7D0B3E2B5B C0B6C7D520C7D0BDC0B5BFBEC6B8AE2031C2F720BCB1C1A4C0DA20B8EDB4DC2E786C7378> 2018 학년도 IT 융합학습동아리 1 차선정자명단 순번 팀명 지도교수 번호 학번 학과 학년 구분 지원금액 비고 1 20141935 컴퓨터공학과 4 대표학생 1 하드스플로잇 이현빈 2 20131689 컴퓨터공학과 4 구성원 3 20141901 컴퓨터공학과 4 구성원 4 20141928 컴퓨터공학과 3 구성원 5 20121509 전기공학과 4 대표학생 2 취목

More information

2010교육프로그램_08-0000

2010교육프로그램_08-0000 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 Contents 나노융합실용화센터 대구기계부품연구원 경북대학교 계명대학교 영진전문대학 영남이공대학 2 4 6 8 18 30 32 36 38 42 3 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 메카트로닉스 및 나노융합 기업지원서비스사업 개요 비 전 교육내용 현장생산인력 및 연구인력의

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

untitled

untitled PROGRAM 시간내용 09:30~10:00 등록 10:00~10:10 개회사권태신 ( 한국경제연구원원장 ) 10:10~10:20 기조연설복거일 ( 작가 ) 10:20~10:50 [30 ] 발제 가파른최저임금인상 : 고용재앙 박기성 ( 성신여자대학교경제학과교수 ) 종합토론 10:50~12:00 [70 ] 사회현진권 ( 자유경제원원장 ) 토론김이석 ( 시장경제제도연구소소장

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 783 789. http://dx.doi.org/10.5515/kjkiees.2015.26.9.783 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) GaN-HEMT

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Jan.; 25(1), 47 52. http://dx.doi.org/10.5515/kjkiees.2014.25.1.47 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Circuit

More information

Contents SEOUL NATIONAL UNIVERSITY FUTURE INTEGRATED-TECHNOLOGY PROGRAM FIP 13 FIP

Contents SEOUL NATIONAL UNIVERSITY FUTURE INTEGRATED-TECHNOLOGY PROGRAM FIP 13 FIP SEOUL NATIONAL UNIVERSITY FUTURE INTEGRATED-TECHNOLOGY PROGRAM 13 : (IoT), 4.0,,,,,, CEO. 13 : 2016 3 29 ( ) ~ 11 1 ( ) : 310 Contents SEOUL NATIONAL UNIVERSITY FUTURE INTEGRATED-TECHNOLOGY PROGRAM FIP

More information