DBPIA-NURIMEDIA

Size: px
Start display at page:

Download "DBPIA-NURIMEDIA"

Transcription

1 30 아날로그 - 디지털변환기의정적파라미터테스트를위한내장자체테스트방법김인철외 논문 SD-5-5 아날로그 - 디지털변환기의정적파라미터테스트를위한내장 자체테스트방법 (A Built-in Self-Test of Static Parameters for Analog-to-Digital Converters ) 김인철 *, 장재원 *, 강성호 ** * (Incheol Kim, Jaewon Jang, and Sungho Kang ) 요 약 본논문은천이검출기를이용하여아날로그 - 디지털변환기 (ADC) 의정적파라미터를테스트하는내장자체테스트방법을제안한다. 제안하는방법은 ADC 의정적테스트에서가장널리사용되는히스토그램방법을대체할수있다. 입력되는테스트신호는상향램프신호를사용하며오프셋, 게인, INL(Integral Non-Linearity), DNL(Differential Non-Linearity) 과같은정적파라미터를테스트할수있다. 제안하는방법은실제테스트환경에서랜덤노이즈에의해발생할수있는천이구간문제를해결할수있으며, 테스트스펙으로주어지는오차허용범위의다양한경우에대해서효율적으로테스트를수행할수있다. 실험결과는제안하는방법이정적테스트를올바르게수행하는것과, 기존방법에비해하드웨어오버헤드가줄어드는것을보여준다. Abstract A new BIST(Built-In Self-Test) scheme to test ADC(Analog-to-Digital Converter) with a transition detector is proposed. The proposed BIST is able to replaces histogram method, the most popular approach in static testing of ADC. With a ramp signal as an input test stimulus, the proposed BIST calculates ADC s static parameters such as offset, gain, INL(Integral Non-Linearity) and DNL(Differential Non-Linearity). The three detectors in the proposed BIST can deal with a transient zone problem, a phenomenon due to random noise in real test environments and are cost efficient at various acceptable ranges determined as a test spec. The simulation results validate that our method performs accurate static test and show the reduction of the hardware overhead. Keywords : 아날로그 - 디지털변환기 (ADC), 내장자체테스트, 정적파라미터, 천이, 오차허용범위 Ⅰ. 서론 SoC(System-on-Chip) 환경에서아날로그-디지털변환기 (ADC) 와디지털-아날로그변환기 (DAC) 와같은 * ** 학생회원, 평생회원, 연세대학교전기전자공학과 (Department of Electrical and Electronic Engineering, Yonsei University) 본논문은 IDEC(IC Design Education Center) 의 CAD Tool 지원을받은것임. 본연구는지식경제부및한양대학교 IDEC 플랫폼센터의지원으로수행하였음. 접수일자 : 2011년12월2일, 수정완료일 : 2012년5월3일 데이터변환기들은칩내의아날로그영역과디지털영역을연결시켜주는필수요소중하나이다 [1]. 이들변환기의성능은전체혼성신호회로의성능에큰영향을끼치게되므로이에대한테스트와검증은전체회로의신뢰도를향상시킨다. 그러나칩내에깊숙이내장되어있는 IP들은그에대한접근성이용이하지않기때문에테스트를수행하는데에어려움이따르게된다. 이와같이내장된 IP들을테스트하기위한방법으로내장자체테스트 (BIST, Built-in Self-Test) 가소개되었는데 [2], 이는회로를테스트하는데있어약간의하드웨어를추가함으로써외부장비의필요없이테스트를 (214)

2 2012 년 5 월전자공학회논문지제 49 권 SD 편제 5 호 31 수행할수있도록한설계방법이다. 한편, ADC의테스트는정적테스트와동적테스트로분류할수있다. 동적테스트를수행하기위해서는마이크로프로세서와같은연산모듈이반드시필요하기때문에내장자체테스트로구현할경우에는정적테스트방법이보다선호된다. 본논문에서는 ADC의정적테스트방법에초점을둘것이다. ADC의정적테스트에서는히스토그램방법이대표적이며, 기존논문에서히스토그램방법을내장자체테스트에적용시키고보다효율적인테스트를수행하기위한방법들이제안되었다 [3~5]. 그러나히스토그램방법은각코드들의출현빈도를저장하기위해하드웨어오버헤드가커진다는단점을가진다. 한편, 히스토그램을사용하지않고천이검출을통해정적테스트를수행하는방법이제안되었는데 [6~8], 이방법들은각코드들의출현빈도를저장하지않아테스트비용을줄일수있다. Y. Wen은 n-비트의 ADC에대하여 (n+m)- 비트의카운터를사용하여정적테스트를수행하는방법을제안하였다 [6]. 그러나이방법은천이구간문제를해결하지못하는문제점이있다. 또한 (n+m)- 비트의카운터대신에 (2+m)- 비트의카운터로테스트를수행할수있는방법이제안되었으나 [7], 두논문 [6,7] 모두 DNL 검출기를구성하기위해오차허용범위를벗어나는모든경우를계산하여야한다는단점을가진다. 이는오차허용범위가 ±1/2 LSB(Least Significant Bit) 로주어지지않을경우에회로의복잡도를증가시킬수있다. 기존논문 [8] 에서는이러한 DNL 검출기를개선하였으나, 이방법은기존논문 [7] 과더불어 ADC의상위비트들에대한검증이필요하다. 본논문에서는기존의방법보다효율적인구조로동일한테스트를수행할수있는방법을제안하며, 정적파라미터외에 ADC의상위비트들에대한검증도수행함을보여준다. 제안하는내장된자체테스트구조에포함되어있는각검출기들의구조를 Ⅱ장에서, 그실험결과와결론을 Ⅲ장과 Ⅳ장에서설명하도록하겠다. 오프셋 : INL(0) < +1/2 LSB (1) 게인 : -1/2 LSB < INL(2 n -1) < +1/2 LSB (2) INL: -1/2 LSB < INL(k) < +1/2 LSB (3) DNL: -1/2 LSB < DNL(k) < +1/2 LSB (4) 이때 k는천이가발생한횟수를나타내며, INL(k) 는 (k번째천이까지의시간 - k LSB) 를, DNL(k) 는 (k번째천이까지의시간 - (k-1) 번째천이까지의시간 - 1 LSB) 를의미한다. k=0은첫코드가출현하는순간을의미하며게인은마지막 INL 값으로얻어질수있다. 그림 1은제안하는내장자체테스트방법의블록다이어그램을나타낸다. 테스트입력을제공하기위해램프신호생성기가사용되고, 4개의검출기가 ADC의정적테스트를수행하게된다. 테스트가시작되면초기값과오프셋이계산되며, 이후천이가발생할때마다 Tran 신호가활성화되어 INL과 DNL이동시에테스트된다. 테스트가종료될때최종값이테스트되며, 정적파라미터들중오차허용범위를넘는것이있을경우내장자체테스트가고장신호를출력한다. 만약그림 1의 OR 게이트를제거하여각검출기의출력을관찰한다면고장의종류에대해서도확인이가능하다. 그림 1의 IFO detector 는 ADC의초기값, 오프셋과최종값을테스트하며, 그구조는그림 2와같다. 테스트의시작과끝에 n-입력 NOR 게이트와 NAND 게이트가 ADC의출력이 로시작하여 로끝 normal mode input AMUX n-bit ADC (DUT) test stimulus (ramp) test Dn-1 ~ D0 C1, C0 ramp generator IFO detector R1+m, Rm INL detector test start test end test start test end Dn-1 ~ D0 Ⅱ. 제안하는내장자체테스트방법정적테스트에서는일반적으로오프셋, 게인, INL, DNL을테스트한다. 테스트입력신호가램프신호이고오차허용범위가 ±1/2 LSB일경우 n-비트 ADC에대한정적파라미터들의계산은다음과같이이루어진다. D1, D0 transition detector 2-bit detection Tran (2+m)-bit reference DNL detector m-bit up/down clock 그림 1. 제안하는내장자체테스트의구조 Fig. 1. The structure of the proposed BIST. pass/ fail (215)

3 32 아날로그 - 디지털변환기의정적파라미터테스트를위한내장자체테스트방법김인철외 n-bit ADC Dn-1 ~ D0 R1+m delay D-FF initial value, offset pass/fail Rm Dn-1 ~ D0 reference IFO detector D-FF test end 그림 2. IFO detector의구조 Fig. 2. The structure of the IFO detector. final value pass/fail 남을확인하게된다. 이를통해서 ADC의상위비트들에서고착고장등의오동작을검출해낼수있으며오프셋고장의검출은뒷부분에서설명하도록하겠다. 초기값의테스트가끝난후에, 천이검출기 (transition detector) 는 ADC의하위 2-비트값을관찰하면서 Tran 신호를활성화시킨다. Y. Wen의논문 [6] 에서는 ADC의최하위 1-비트만을관찰하였는데, 이로인해천이구간문제에취약점을가진다. 실제 ADC의값이변화하는구간에서는랜덤노이즈에의한불확실성이존재하여출력값이상승과천이를반복하는현상이발생할수있다 [9]. 따라서 ADC의최하위 1-비트만을관찰할경우천이구간내에서 Tran 신호를여러번활성화시키기때문에정적파라미터를올바르게테스트할수없게된다. 본논문에서는천이구간문제를해결하기위해기존에제안하였던천이검출기 [7~8] 와동일한구조를사용한다. 천이검출기내의 2-비트검출카운터는초기에 01 로세팅되어 ADC에서천이가발생될때마다카운터값을증가시키면서 Tran 신호를활성화시킨다. 이 Tran 신호는 INL 검출기와 DNL 검출기에서테스트를위해사용된다. 앞서설명한것과같이, 오프셋은첫코드의출현시점, 게인은마지막천이의시점, INL은각천이의시점을이용하여계산된다. 오차허용범위를 ±1/2 LSB로가정할때, 오프셋, 게인, INL의타이밍다이어그램은그림 3과같다. 이때 m 값은기존논문 [7~8] 에서와동일하게결정되어이경우 m=1이된다. 그림 3에서와같이, INL 검출기내에있는 (2+m)-비트참조카운터 (R 1+m R m-1) 는초기에 011 로세팅되며, 그림 3. 오프셋, 게인, INL 테스트의타이밍다이어그램 Fig. 3. The timing diagram of the offset, gain and INL test. clock C1 R1+m C0 Rm detection (2+m)-bit reference D-FF INL detector 그림 4. INL 검출기의구조 Fig. 4. The structure of the INL detector. INL pass/fail delayed Tran 상위 2-비트가 1 LSB마다 1씩증가한다. (1) 에서정리된것과같이오프셋테스트의오차허용범위가테스트시작으로부터 1/2 LSB 이므로상위 2-비트만이오프셋테스트에사용된다. 그림 2의 2-입력 NOR 게이트는 ADC의초기값이참조카운터의상위 2-비트가 01 일때발생하는지를테스트한다. 한편, INL 테스트는 Tran 신호를이용하여각천이시마다해당천이가오차허용범위내에발생했는지를테스트한다. 그림 3에서볼수있듯이, ADC의첫번째천이의오차허용범위는참조카운터의값이 인동안이다. 즉, 참조카운터의상위 2-비트가 10 일때가첫번째천이의오차허용범위이며, 이후의천이에대해서도참조카운터의상위 2-비트만을이용하여오차허용범위를표현할수있다. 이를회로로구현하여만들어진 INL 검출기의구조는그림 4와같다. 기존논문 [7,8] 에서천이구간이존재하는 ADC의출력값을받아이를제거하는 2-비트카운터 (stabilizer) 를사용한것과달리, 본구조에서는천이검출기의 2-비트카운터의값 (C 1, C 0 ) 을사용한다. 이천이검출카운 (216)

4 2012 년 5 월전자공학회논문지제 49 권 SD 편제 5 호 33 터의 2-비트값은현재 ADC의하위 2-비트값 (D 1, D 0) 보다 1만큼크게되므로비교를위해쓰이는참조카운터의초기값도이에맞게조절되어기존논문의방법 [7,8] 보다 1 LSB 빠르게설정된다. 만약참조카운터의 1 사이클후에천이가발생하여 INL 검출기에서고장을검출하지못하는경우가발생한다면, 다음에설명할 DNL 검출기에서고장을검출하게된다. DNL 테스트에서는각천이간의시간간격을계산한다. 무고장의 ADC의경우각천이사이의시간간격은 1 LSB 이므로, 실제각천이사이의시간간격에서 1 LSB를뺀결과가오차허용범위내에있는지를테스트하게된다. 기존논문 [6~7] 에서는이전천이가발생했을때의참조카운터의값과다음천이가발생했을때의참조카운터의값을비교하여 DNL 테스트를수행하였으나, 이러한방법은이전 / 다음천이들에대한모든경우의수를계산하여오차허용범위와함께이를회로로표현하여야한다. 만약오차허용범위가 ±1/2 LSB로주어지지않을경우, DNL 테스트회로는매우복잡해져하드웨어크기를증가시키게된다. 제안하는내장자체테스트에서는기존논문 [8] 에서와같이 m-비트의업 / 다운카운터를사용하여각천이간의시간간격을계산한다. Tran 신호가활성화될때 DNL 검출기내의업 / 다운카운터는모두 1 로초기화되며클록신호에따라하강카운트를시작한다. 하강카운트중카운터의모든값이 0 이되면상승카운트를하게되어, 업 / 다운카운터의값은초기화시점에서부터대칭의값을가지게된다. 이때이전천이시점으로부터 1 LSB가지난시점의카운터값이 이되도록한다면오차허용범위를간단한회로로구현할 수있다. 그러나기존논문 [8] 에서업 / 다운카운터가상승 / 하강카운트를반복하는것과달리제안하는방법에서는 Tran 신호간격마다하강-상승카운트를 1회만수행한다. 즉업 / 다운카운터의값이 에서 까지하강카운트를수행한후다시 까지상승카운트를진행한다음, 기존논문 [8] 에서는다시하강카운트를진행하지만제안하는 DNL 검출기에서는 의값을유지한다. 이로인해기존논문 [8] 과달리천이간의간격이 3, 5, 7,... LSB인경우의 DNL 고장도검출해낼수있다. 그림 5는 DNL 테스트의타이밍다이어그램을보여준다. 그림 5에서 Tran 신호가활성화될때업 / 다운카운터가초기화되고하강카운트를시작하며, 카운터의값이모두 0 이고플래그값이 1 일때 1 LSB가된다. 이때오차허용범위에해당하는카운터와플래그값은 00/0, 00/1, 01/1 의세가지이므로적은수의논리게이트를사용하여간단히구현이가능하다. 또한모든천이에대하여동일하게동작하므로기존논문 [6,7] 에서와같이모든경우의수를고려할필요가없다. 한편, 그림 5에서천이발생시점과 1 LSB 후를구간으로표현하여오차허용범위가중복되는현상이발생하는데, 이는기존논문 [6~8] 에서도동일하게발생하는현상으로, 각천이가시스템클록과비동기적으로발생하기때문에일어나는현상이다. 이는 m 값을증가시켜 1 LSB를더욱많은클록수로분할하면개선될수있으며, m 값을증가시키는방법은기존논문 [6~7] 에서는하드웨어크기를크게증가시켜적용하기힘들지만제안하는방법에서는카운터의크기를늘리는것으로비교적쉽게적용이가능하다. clock Tran... up/down up/down flag example 1 example XX X LSB acceptable range +1 /2 LSB 1/2 LSB 그림 5. DNL 테스트의타이밍다이어그램 Fig. 5. The timing diagram of the DNL test pass fail Ⅲ. 실험제안하는내장자체테스트구조의동작을검증하기위하여, ADC에고장과천이구간을삽입하여시뮬레이션을수행하였다. 실험에사용된 ADC는 8-비트플래시타입과 12-비트파이프라인타입이었다. 또한본논문에서는비교적정확도가높은램프신호를필요로하기때문에기존논문에서제안된정확도가높은램프신호생성기 [10] 를사용하였다. 그림 6은 ADC의상위비트들에대한고장과오프셋고장에대한시뮬레이션결과이다. 8-비트파이프라인 ADC가사용되었으며, 이때오프셋의오차허용범위 (217)

5 34 아날로그 - 디지털변환기의정적파라미터테스트를위한내장자체테스트방법김인철외 는 +1/2 LSB로가정하였다. 그림 6의 (a), (d) 는고장이없는경우, (b), (e) 는 ADC의상위비트중하나에고장이존재하여잘못된초기값 / 최종값이출력되는경우이며, (c) 는오프셋고장이존재하는경우이다. 초기값과오프셋의테스트후에, 천이검출기는 ADC의하위 2-비트를이용하여 Tran 신호를활성화시킨다. 이때천이구간문제의해결을검증하기위하여 ADC의출력에천이구간을삽입하였다. 삽입된천이구간의길이는각코드길이의 1% 미만이며랜덤함수를이용하여천이구간내에서의천이여부가결정되었다. 제안하는천이검출기에서생성된 Tran 신호를이 용하여, INL 검출기와 DNL 검출기에서 INL 테스트와 DNL 테스트가동시에진행된다. INL 테스트와 DNL 테스트의검증을위하여 12-비트 ADC를사용하여시뮬레이션이진행되었으며오차허용범위는 ±1/2 LSB 로가정, m 값은 2로설정하였다. 그림 7과 8은각각 INL, DNL 테스트의결과파형을보여준다. 그림 7과 8에서, 631번째천이가너무늦게발생하여 INL/DNL 테스트의오차허용범위를모두벗어났고, 이에따라각검출기의출력에서고장검출신호가발생한것을확인할수있다. 이와같은방법으로 Tran 신호를이용하여 INL 검출기와 DNL 검출기에 (a) (c) (b) (d) (e) 그림 6. 초기값 / 최종값과오프셋테스트의결과파형 Fig. 6. The waveform of the initial, final values and offset test. 그림 7. INL 테스트의결과파형 Fig. 7. The waveform of the INL test. (218)

6 2012 년 5 월전자공학회논문지제 49 권 SD 편제 5 호 35 그림 8. DNL 테스트의결과파형 Fig. 8. The waveform of the DNL test. 서각천이마다그테스트결과를출력하게된다. 기존논문의방법 [6~8] 과제안하는구조의하드웨어오버헤드와기타비교사항들을표 1에나타내었다. 하드웨어오버헤드는 2-입력 NAND 게이트를기준으로한게이트카운트를계산하였다. 표 1에서 a, b, c는오차허용범위를각각 ±1/2 LSB, ±3/8 LSB, ±7/16 LSB로설정했을경우를의미하며, 이때 m 값은각각 2, 4, 5로설정하였다. 또한 d는 ADC의상위비트들에존재하는고장의검출가능여부, e는천이구간문제의해결여부를의미한다. 표 1에서볼수있듯이, 제안하는방법은기존논문 [6] 보다항상작은크기의하드웨어를가진다. 이는제안하는방법에서천이검출기의크기가커지고새로추가된검출기가있음에도불구하고 INL, DNL 검출기의크기가크게줄어들었음을의미한다. 또한 m 값의크기가작을때, 새로운 DNL 검출기로인해기존방법 [8] 과제안하는방법의하드웨어크기가기존방법 [7] 보다약간더크지만, m 값이증가하여도하드웨어크기의증가폭이더작음을확인할수있다. 따라서제안하는방법은테스트하려는 ADC의크기가커지거나, 테스트의정확도를위해 1 LSB를보다많은클록수로나누어 m 값이증가하더라도내장자체테스트의하드웨어크기가크게증가하지않는다. 또한새로추가된검출기로인해기존방법 [8] 보다하드웨어의크기가약간증가하였지만 INL/DNL 검출기의개선을통해그증가폭을최소화하였다. 8-비트와 12-비트의 ADC에대한제안하는 IFO 검출기의크기는전체내장자체테스트의 12%, 14% 를차지한다. 하지만기존방법 [8] 에비해 INL, DNL 검출기의크기를각각 20%, 4% 줄임으로써하드웨어의증가를방지하였다. 뿐만아니라 ADC의상위비트들에대한고장을테스트할수있다는장점을가 표 1. 기존방법 [6~8] 과제안하는방법의비교 Table 1. Comparison of the proposed method with the previous ones [6~8]. 8- 비트플래시 기존방법 [6] 기존방법 [7] [8] 제안하는기존방법방법 a (-30%) 157 (-26%) 162 (-23%) b (-20%) 247 (-25%) 246 (-26%) c (-17%) 279 (-29%) 283 (-28%) 평균 (-21%) 228 (-27%) 230 (-26%) a (-48%) 157 (-45%) 167 (-41%) 12-비트 b (-34%) 247 (-38%) 251 (-37%) 파이프 c (-30%) 279 (-40%) 288 (-38%) 라인 평균 (-36%) 228 (-40%) 235 (-38%) d 가능 불가능 불가능 가능 e 불가능 가능 가능 가능 지며천이구간문제또한해결가능하다. 제안하는방법은 ADC의출력만을관찰하여정적파라미터를계산, 고장유무를판별하므로 ADC의타입에관계없이디지털신호로테스트가가능하다. 입력으로사용되는램프신호생성기는기존의성능이검증된것을사용하여신뢰도를높였고정적테스트를위한 4개의검출기는모두디지털회로로구성되어내장자체테스트에서발생할수있는오차를최소화하였다. 향후제안하는방법을기반으로한자체내장교정 (BISC, Built-in Self-Calibration) 연구에서실제칩을이용한실험을진행할예정이다. Ⅳ. 결론 본논문에서는 ADC의정적테스트를효율적인하드웨어로수행할수있는내장자체테스트방법에대하여제안하였다. 제안하는방법은정적테스트에서흔히쓰이는히스토그램방식대신에 ADC의천이를검출하 (219)

7 36 아날로그 - 디지털변환기의정적파라미터테스트를위한내장자체테스트방법김인철외 여테스트를수행한다. 이때반드시고려되어야하는천이구간문제를기존논문 [7~8] 에서와같이해결하였으며, 또한상위비트들에대한검증을수행할수있다. 이로인해검출기의수가증가하였지만 INL/DNL 검출기를개선함으로써전체적인하드웨어오버헤드의증가를방지할수있었다. III장의시뮬레이션결과는제안하는방법이효율적인구조를통해 ADC의정적테스트를수행할수있음을확인시켜준다. 참고문헌 [1] H. Jiang et al., Testing High Resolution ADCs with Resolution/Accuracy Deterministic Dynamic Element Matched DACs, Proc. of ITC., pp , Oct [2] 김기철외, 내장된자체테스트를위한저전력테스트패턴생성기구조, 전자공학회논문지, 제 47 권 SD 편, 제 8 호, 쪽, 2010 년 8 월. [3] M. Renovell et al., Hardware Resource Minimization for a Histogram-based BIST, Proc. of VTS, pp , May [4] Y. Wang et al., Optimal Schemes for ADC BIST Based on Histogram, Proc. of ATS, pp , Dec [5] H. Xing et al., A Fully Digital-Compatible BIST Strategy for ADC Linearity Testing, Proc. of ITC, pp. 1-10, Oct [6] Y. Wen, A BIST Scheme for Testing Analog-to-Digital Converters with Digital Response Analyses, Proc. of VTS, pp , May [7] I. Kim et al., A New Analog-to-Digital Converter BIST Considering a Transient Zone, IEICE Trans. on Electron., vol. E90-C, no. 11, pp , Nov [8] I. Kim et al, Built-in Self-test for A/D Converters in the Presence of Transient Zones, Proc. of ISOCC, pp , Oct., [9] M. Burns et al, An Introduction to Mixed-Signal IC Test and Measurement, Oxford University Press, pp , [10] W. Lee et al., A High Precision Ramp Generator for Low Cost ADC Test, Proc. of ICSICT, pp , Oct., 저자소개 김인철 ( 학생회원 ) 2005 년연세대학교전기전자공학과학사졸업 년현재연세대학교전기전자공학과통합박사과정. < 주관심분야 : 반도체, SoC 설계, SoC 테스트 > 장재원 ( 학생회원 ) 2005 년서강대학교컴퓨터학과학사졸업 년서강대학교컴퓨터학과석사졸업 년현재연세대학교전기전자공학과박사과정. < 주관심분야 : SoC 테스트, 아날로그테스트 > 강성호 ( 평생회원 ) 1986 년서울대학교제어계측공학과학사졸업 년 The University of Texas, Austin 전기및컴퓨터공학과석사졸업 년 The University of Texas, Austin 전기및컴퓨터공학과박사졸업 년미국 Schlumberger Inc. 연구원 년 Motorola Inc. 선임연구원 년현재연세대학교전기전자공학과교수. < 주관심분야 : SoC 설계, SoC 테스트 > (220)

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2010 년 8 월전자공학회논문지제 47 권 SD 편제 8 호 29 논문 2010-47SD-8-5 내장된자체테스트를위한저전력테스트패턴생성기구조 (An Efficient Test Pattern Generator for Low Power BIST ) 김기철 *, 강성호 ** * (Kicheol Kim and Sungho Kang ) 요 약 본논문에서는내장된자체테스트기법

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 9 월전자공학회논문지제 49 권제 9 호 237 논문 2012-49-9-26 비트맵메모리공유를통해 면적을크게줄인효율적인수리방법 (An Efficient Repair Method to Reduce Area Overhead by Sharing Bitmap Memory ) 조형준 *, 강성호 ** * (Hyungjun Cho and Sungho Kang

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 26 년 4 월전자공학회논문지제 43 권 SD 편제 4 호 49 논문 26-43SD-4-7 효율적인고장진단을위한딕셔너리구조개발 (A New Dictionary Mechanism for Efficient Fault Diagnosis ) 김상욱 *, 김용준 **, 전성훈 **, 강성호 ** ( Sangwook Kim, Yongjoon Kim, Sunghoon Chun,

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Nov.; 25(11), 11351141. http://dx.doi.org/10.5515/kjkiees.2014.25.11.1135 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770>

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770> 한국지능시스템학회 논문지 2010, Vol. 20, No. 3, pp. 375-379 유전자 알고리즘을 이용한 강인한 Support vector machine 설계 Design of Robust Support Vector Machine Using Genetic Algorithm 이희성 홍성준 이병윤 김은태 * Heesung Lee, Sungjun Hong,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 783 789. http://dx.doi.org/10.5515/kjkiees.2015.26.9.783 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) GaN-HEMT

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 8 월전자공학회논문지제 49 권 SD 편제 8 호 55 Journal of The Institute of Electronics Engineers of Korea Vol. 49-SD, NO. 8, August 2012 논문 2012-49SD-8-9 이중포트메모리를위한효율적인프로그램가능한메모리 BIST (An Efficient Programmable

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Apr.; 28(4),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Apr.; 28(4), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Apr.; 28(4), 298308. http://dx.doi.org/10.5515/kjkiees.2017.28.4.298 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Reduction

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

03 장태헌.hwp

03 장태헌.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 Aug.; 24(8), 772 780. http://dx.doi.org/10.5515/kjkiees.2013.24.8.772 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) HEMP

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

±è¼ºÃ¶ Ãâ·Â-1

±è¼ºÃ¶ Ãâ·Â-1 Localization Algorithms Using Wireless Communication Systems For efficient Localization Based Services, development of accurate localization algorithm has to be preceded. In this paper, research trend

More information

Microsoft Word - KSR2013A320

Microsoft Word - KSR2013A320 k ƒ! YWXZ º º t rzyywxzhzyw k ep k Dynamic Behavior of Bridge considering Various Light Weight Rail Vehicles Õ äø ÐãäÕò ãã Sang-Su Kim, Yong-ul Park *, Man-Cheol Kim ** Abstract The purpose of this paper

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jun; 26(6), 546 554. http://dx.doi.org/10.5515/kjkiees.2015.26.6.546 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Proof-of-Concept

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

JUNIT 실습및발표

JUNIT 실습및발표 JUNIT 실습및발표 JUNIT 접속 www.junit.org DownLoad JUnit JavaDoc API Document 를참조 JUNIT 4.8.1 다운로드 설치파일 (jar 파일 ) 을다운로드 CLASSPATH 를설정 환경변수에서설정 실행할클래스에서 import JUnit 설치하기 테스트실행주석 @Test Test 를실행할 method 앞에붙임 expected

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선 Point Operation Histogram Modification 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 HISTOGRAM HISTOGRAM MODIFICATION DETERMINING THRESHOLD IN THRESHOLDING 2 HISTOGRAM A simple datum that gives the number of pixels that a

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

(000-000)실험계획법-머리말 ok

(000-000)실험계획법-머리말 ok iii Design Analysis Optimization Design Expert Minitab Minitab Design Expert iv 2008 1 v 1 1. 1 2 1. 2 4 1. 3 6 1. 4 8 1. 5 12 2 2. 1 16 2. 2 17 2. 3 20 2. 4 27 2. 5 30 2. 6 33 2. 7 37 2. 8 42 46 3 3.

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE May; 27(5),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE May; 27(5), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 May; 27(5), 454462. http://dx.doi.org/10.5515/kjkiees.2016.27.5.454 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Research

More information

PRO1_14E [읽기 전용]

PRO1_14E [읽기 전용] Siemens AG 1999 All rights reserved Date 22-2-19 File PRO1_14E1 Information and 2 3 S7-3 4 SM335 ( ) 5 SM335 ( ) 6 SM331 7 8 9 1 11 12 SM335 13 SM331 14 15 16 1 CPU ph 5mV 1V 5V 1V 2mA 42mA MR ADC PIW

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

08김현휘_ok.hwp

08김현휘_ok.hwp (Regular Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.369 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) An Audio Coding Technique Employing the Inter-channel

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 978 984. http://dx.doi.org/10.5515/kjkiees.2015.26.11.978 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Transceiver

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

232 도시행정학보 제25집 제4호 I. 서 론 1. 연구의 배경 및 목적 사회가 다원화될수록 다양성과 복합성의 요소는 증가하게 된다. 도시의 발달은 사회의 다원 화와 밀접하게 관련되어 있기 때문에 현대화된 도시는 경제, 사회, 정치 등이 복합적으로 연 계되어 있어 특

232 도시행정학보 제25집 제4호 I. 서 론 1. 연구의 배경 및 목적 사회가 다원화될수록 다양성과 복합성의 요소는 증가하게 된다. 도시의 발달은 사회의 다원 화와 밀접하게 관련되어 있기 때문에 현대화된 도시는 경제, 사회, 정치 등이 복합적으로 연 계되어 있어 특 한국도시행정학회 도시행정학보 제25집 제4호 2012. 12 : pp.231~251 생활지향형 요소의 근린주거공간 분포특성 연구: 경기도 시 군을 중심으로* Spatial Distribution of Daily Life-Oriented Features in the Neighborhood: Focused on Municipalities of Gyeonggi Province

More information

<31392DB9DDB5B5C3BCB9D7C5EBBDC5BCD2C0DA28C0E5BFB5C2F9292E687770>

<31392DB9DDB5B5C3BCB9D7C5EBBDC5BCD2C0DA28C0E5BFB5C2F9292E687770> Journal of the Korea Institute of Information and Communication Engineering MOM 커패시터를사용한디지털 - 아날로그변환기를가진 10-bit 10-MS/s 비동기축차근사형아날로그 - 디지털변환기 정연호 장영찬 * A 10-bit 10-MS/s Asynchronous SAR analog-to-digital

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

Microsoft Word - KSR2012A038.doc

Microsoft Word - KSR2012A038.doc YWXY º º t rzyywxyhwz_ º zƒ A Study on the Relation of Railroad System and Energy Saving ö ä ø ã ä ãã In Moon, Han-Min Lee *, Jong-Eun Ha * * Abstract Now the world, such as the impact of fossil energy

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

???? 1

???? 1 The Korean Journal of Applied Statistics (2013) 26(1), 201 208 DOI: http://dx.doi.org/10.5351/kjas.2013.26.1.201 A Note on Model Selection in Mixture Experiments with Process Variables Jung Il Kim a,1

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 114 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 논문 2013-50-2-14 IEEE 1500 표준기반의 효율적인프로그램가능한메모리 BIST ( IEEE std. 1500 based an Efficient Programmable Memory BIST ) 박영규 *, 최인혁 *, 강성호 ** * (Youngkyu Park, Inhyuk

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

07 최운성.hwp

07 최운성.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 Nov.; 24(11), 1081 1090. http://dx.doi.org/10.5515/kjkiees.2013.24.11.1081 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Mar.; 30(3), 223 228. http://dx.doi.org/10.5515/kjkiees.2019.30.3.223 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Analysis

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015) ISSN

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015)   ISSN (JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, 2015 11 (JBE Vol. 20, No. 6, November 2015) http://dx.doi.org/10.5909/jbe.2015.20.6.880 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) Frame

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA Printed in the Republic of Korea "/"-:5*$"- 4$*&/$& 5&$)/0-0(: Vol. 18, No. 5, 425-430, 2005» 677*4 Ÿ w sƒ ½»x Á Á½ k w y w, w y œw Some considerations for the analytical approaches to measure atmospheric

More information

Buy one get one with discount promotional strategy

Buy one get one with discount promotional strategy Buy one get one with discount Promotional Strategy Kyong-Kuk Kim, Chi-Ghun Lee and Sunggyun Park ISysE Department, FEG 002079 Contents Introduction Literature Review Model Solution Further research 2 ISysE

More information

Nordic Chipset BLE Test Application Note

Nordic Chipset BLE Test Application Note Nordic Chipset BLE Test Application Note 20151218 차례 차례........................................................................... ii 1. Nordic nrf52 Series 제품테스트방법...............................................

More information

<C3D6C1BE2DBDC4C7B0C0AFC5EBC7D0C8B8C1F62833322D32C8A3292E687770>

<C3D6C1BE2DBDC4C7B0C0AFC5EBC7D0C8B8C1F62833322D32C8A3292E687770> 유자차 신제품에 대한 소비자 지불의사액 추정 강혜정 최지현 이기웅 유자차 신제품에 대한 소비자 지불의사액 추정 An Analysis on Estimation of Willingness to Pay for the New Products of Citrus Tea Assessed by Korean Consumers 강혜정* 최지현** 이기웅*** 1) Kang,

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

KAERITR hwp

KAERITR hwp - i - - ii - - iii - Minimum Detectable Activity(MDA) for Analysis of Noble Gas in Atmosphere It is important to determine whether radioactivity of noble gas in atmosphere exist or not. It is also difficult

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date 2012-10-25 Team Information Sanghyun Yoon shyoon.dslab@gmail.com Dependable Software Laboratory

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 25(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 25(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Dec.; 25(12), 12751283. http://dx.doi.org/10.5515/kjkiees.2014.25.12.1275 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information