DSD_VerilogHDL_11 1 디지털시스템설계 (Verilog HDL) Latch Gated Latch RS, D, JK, T Flip-Flop Flip-Flop with PRS, CLR inputs

Similar documents
디지털공학 5판 7-8장

Microsoft PowerPoint - hw4.ppt [호환 모드]

슬라이드 1

Microsoft Word - logic2005.doc

Microsoft PowerPoint - DSD03_verilog3a.pptx

PowerPoint 프레젠테이션

Microsoft PowerPoint - DSD03_verilog3b.pptx

9장 순차논리 회로

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

MAX+plus II Getting Started - 무작정따라하기

논리회로설계 6 장 성공회대학교 IT 융합학부 1

Microsoft PowerPoint - Verilog_Summary.ppt

歯Chap1-Chap2.PDF

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

v6.hwp

59

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

10 장카운터

Microsoft PowerPoint - 제10장.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - verilog문법new.ppt

chap7_ohp.hwp

MAX+plusⅡ를 이용한 설계

PowerPoint 프레젠테이션

Microsoft PowerPoint - DSD02_verilog2a.pptx

초급과정 목차

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - DSD06b_Cont.pptx

<4D F736F F F696E74202D C6F672D48444CC0BB20C0CCBFEBC7D120B5F0C1F6C5D0BDC3BDBAC5DBBCB3B0E82E707074>

b74...*

tut_modelsim(student).hwp

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

Microsoft PowerPoint - CHAP-01 [호환 모드]

ºÎ·ÏB

PowerPoint Presentation

source.pdf

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

슬라이드 1

Microsoft PowerPoint - DSD02_verilog2b.pptx

Microsoft Word doc

Microsoft PowerPoint - ch11_reg.pptx

T100MD+

PL10

³»Áö¼öÁ¤

대학교육151호-합침

Microsoft Word - logic2005.doc

Microsoft PowerPoint - verilog_intro and project example_실험4까지 설명후 project 진행_66 [호환 모드]

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

Quartus-Manual_Kor.PDF

삼성기초VHDL실습.PDF

Microsoft PowerPoint - ch25ysk.pptx

Microsoft Word - 1. ARM Assembly 실습_xp2.doc

[8051] 강의자료.PDF

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

ÇѱÛÇ¥Áö

DE1-SoC Board

MicrocontrollerAcademy_Lab_ST_040709

ATmega128

INDUCTION MOTOR 표지.gul

(1) 주소지정방식 Address Mode 메모리접근 분기주소 명령어 직접번지 Reg. 지정 Reg. 간접 Base Index 간접 Immediate 상대번지 절대번지 Long 주소 Reg. 간접 Byte Access Bit Access 내부 Data M

Microsoft PowerPoint - es-arduino-lecture-03

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

282서비스업관리-마트

AVR ATmega128 소개 마이크로컨트롤러 AVR ATmega128 저자 : 이상설 소속 : 원광대학교전기 정보통신공학부

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

2009년2학기 임베디드시스템 응용

도 1 명세서 도면의 간단한 설명 도 1은 본 발명의 바람직한 실시예에 따른 데이터 송수신 장치의 회로도이다. 도 2는 도 1에 도시된 등화기의 일 실시예를 보여주는 회로도이다. 도 3은 도 1에 도시된 프리엠퍼시스 회로의 일 실시예를 보여주는 회로도이다. 도 4는 본

Slide 1

BJFHOMINQJPS.hwp

[FPGA 와 Verilog 초보자가이드 ] 개요 FPGA 와 Verilog 2013/02/20 19:05 개요 임베디드시스템을배우는것은때때도어렵기도하지만몇몇자료를읽고, 이해하고, 실

Microsoft Word - CL5000,5500_KOR_UM_ _.doc

전자실습교육 프로그램

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

ADP-2480

Microsoft PowerPoint - DSD01_verilog1a.pptx

03 ¸ñÂ÷

SIGPLwinterschool2012

Å©·¹Àγ»Áö20p

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

slide2

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M

<313920C0CCB1E2BFF82E687770>

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

10X56_NWG_KOR.indd

Microsoft PowerPoint - hw8.ppt [호환 모드]

歯Intro_alt_han_s.PDF

디지털시스템설계및실습 1. Verilog HDL 문법 한국기술교육대학교전기전자통신공학부 Ver1.0 (2008)1

슬라이드 1

목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I

05 김성진-1.hwp

0.1-6

API 매뉴얼

90

Transcription:

DSD_VerilogHDL_11 1 디지털시스템설계 (Verilog HDL) Latch Gated Latch RS, D, JK, T Flip-Flop Flip-Flop with PRS, CLR inputs

DSD_VerilogHDL_11 2 설계과제 [ 설계 1-1] RS Latch 설계 (NOR type) module nor_rs_latch (R, S, Q, Qbar); input R, S; output Q, Qbar; // NOR type, High Active, Structural nor U1 (Q, R, Qbar); nor U2 (Qbar, Q, S); S R Q Q' 0 0 No change 0 1 0 1 1 0 1 0 1 1 Invalid

DSD_VerilogHDL_11 3 [ 설계 1-2] RS Latch 설계 (NAND type) module nand_rs_latch (R, S, Q, Qbar); input R, S; output Q, Qbar; // NAND type, Low Active, Structural nand U1 (Q, S, Qbar); nand U2 (Qbar, Q, R); S' R' Q Q' 0 0 Invalid 0 1 1 0 1 0 0 1 1 1 No change

DSD_VerilogHDL_11 4 [ 설계 2-1] Gated RS Latch 설계 (NOR type) odule nor_gated_rs_latch (CP, R, S, Q, Qbar); input CP; input R, S; output Q, Qbar; wire ss, rr; // Gated RS Latch, NOR type, Structural and U1 (ss, S, CP); and U2 (rr, R, CP); nor_rs_latch L1(rr, ss, Q, Qbar); S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Invalid

DSD_VerilogHDL_11 5 [ 설계 2-2] Gated RS Latch 설계 (NAND type) module nand_gated_rs_latch (CP, R, S, Q, Qbar); input CP; input R, S; output Q, Qbar; wire ss, rr; // Gated RS Latch, NAND type, Structural nand U1 (ss, S, CP); nand U2 (rr, R, CP); nand_rs_latch L1 (rr, ss, Q, Qbar); S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Invalid

DSD_VerilogHDL_11 6 [ 설계 3] Gated D Latch 설계 D Q(t+1) 0 0 1 1 module Gated_D_Latch (CP, D, Q, Qbar); input CP; input D; output Q, Qbar; // Gated D Latch, NOR type, Structural //nor_gated_rs_latch (CP, ~D, D, Q, Qbar); // Gated D Latch, NAND type, Structural nand_gated_rs_latch (CP, ~D, D, Q, Qbar);

DSD_VerilogHDL_11 7 * Flip-Flop - Master/Slave Type으로구현 - Edge Trigger( positive or Negative ) : posedge, negedge - 예 ) SR Flip-Flop

DSD_VerilogHDL_11 8 [ 설계 4-1] RS Flip-Flop 설계 module RS_flipflop (clk, RESET, R, S, Q, Qbar); input clk, RESET, R, S; output Q, Qbar; reg Q; // RS f/f assign Qbar = ~Q; always @(posedge clk, negedge RESET) begin if(!reset) Q <= 0; else if (R==1 && S==0) Q <= 0; else if (R==0 && S==1) Q <= 1; else Q <= Q; end S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Invalid

DSD_VerilogHDL_11 9 [ 설계 4-2] D Flip-Flop 설계 module D_flipflop (clk, RESET, D, Q, Qbar); input clk, RESET, D; output Q, Qbar; reg Q; // D f/f assign Qbar = ~Q; always @(posedge clk, negedge RESET) begin if(!reset) Q <= 0; else Q <= D; end

DSD_VerilogHDL_11 10 [ 설계 4-3] JK Flip-Flop 설계 module JK_flipflop (clk, RESET, K, J, Q, Qbar); input clk, RESET, K, J; output Q, Qbar; reg Q; // JK f/f assign Qbar = ~Q; always @(posedge clk, negedge RESET) begin if(!reset) Q <= 0; else if (K==0 && J==0) Q <= Q; else if (K==1 && J==0) Q <= 0; else if (K==0 && J==1) Q <= 1; else Q <= ~Q; end J K Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Q(t)'

DSD_VerilogHDL_11 11 [ 설계 4-4] T Flip-Flop 설계 module T_flipflop (clk, RESET, T, Q, Qbar); input clk, RESET, T; output Q, Qbar; reg Q; // T f/f assign Qbar = ~Q; always @(posedge clk, negedge RESET) begin if(!reset) Q <= 0; else if (T) Q <= ~Q; else Q <= Q; end

DSD_VerilogHDL_11 12 [ 설계4-5] Flip-Flops 설계 * 위의플립플롭모듈을참조하여 * PRESET(/PRS) 기능추가및 * CLK의하강에지트리거방식으로설계

DSD_VerilogHDL_11 13 [ 설계 5] Flip-Flops with asynchronous inputs(/prs, /CLR) * [ 한산 09] P.406, Fig.7.45 & Fig.7.46 참조

DSD_VerilogHDL_11 14 [ 설계6] RS, D, JK, T Flip-flops 실습 * 'edit'-'insert template'-'verilog HDL'- 'Altera Primitive'-'Registers and Latches' * SRFF, DFF, JKFF, TFF 템플릿이용 // using JKFF primitive // module JKFF_test (q, clk, j, k, clr, pr); input clk, j, k, clr, pr; output q; JKFF (.j(j),.k(k),.clk(clk),.clrn(clr),.prn(pr),.q(q) );

DSD_VerilogHDL_11 15 Blocking/NonBlocking Assignment * 설계목표 : 2 비트쉬프트레지스터

DSD_VerilogHDL_11 16 Blocking/NonBlocking Assignment( 계속 ) * Blocking assignment, [ 한산 09]P.402 // blocking assignment module ex01(d, Clk, Q1, Q2); input D, Clk; output reg Q1, Q2; always @(posedge Clk) begin Q1 = D; Q2 = Q1; end

DSD_VerilogHDL_11 17 Blocking/NonBlocking Assignment( 계속 ) * Non-blocking assignment : 각할당의결과가 always 블록이끝날때까지적용되지않음. // Non-blocking assignment : always @(posedge Clk) begin Q1 <= D; Q2 <= Q1; end :

DSD_VerilogHDL_11 18 [ 설계과제 ] 다음의카운터회로를설계 * 다음주 OHP 자료참조 1) 리플카운터 (UP, DOWN) 2) 링카운터 3) 존슨카운터 끝.

[ 설계과제 ] 4 비트리플카운터 (16 진카운터 ) DSD_VerilogHDL_11 19