Microsoft PowerPoint - verilog_intro and project example_실험4까지 설명후 project 진행_66 [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - verilog_intro and project example_실험4까지 설명후 project 진행_66 [호환 모드]"

Transcription

1 Verilog HDL Intro.

2 . Overview

3 ..2 HDL HDL (hardware description language) : 하드웨어를기술하고시뮬레이션, 합성을하기위해고안된프로그래밍언어 ex.) Verilog HDL, VHDL Advantages of HDL - Easy to describe hardware system - Easy to convert designs to implementations (Automatic hardware implementation by computer) - Easy to debug (Simulation by computer) - Easy for rapid prototyping. Electronic Design Automation

4 ..4 Simulation & Synthesis Simulation : 모의실험 - 만들지않으니까돈이들지않는다. - 제대로만들었는지확인하기쉽다. - 설계가틀렸을경우, 고쳐서다시시뮬레이션하여확인하기쉽다. - 모든경우를다테스트할수없으므로, 실수의가능성이항상상존한다. - HDL 을써서 simulation 과 synthesis 를함께진행하면시간과노력이절약된다. 멍청한컴퓨터가계산한결과를그대로믿다가는쫄딱망하는경우도있다! 시스템사양 human HDL simulator Synthesis : 자동화된디자인합성 - C 프로그래밍처럼 behavior 만기술하면나머지는컴퓨터가알아서합성한다. - 사람손으로할때보다최적화된결과 설계결과 동작확인 synthesizer CAD tools. Electronic Design Automation

5 HDL-Based Design Flow PLD Design specification Design entery Functional verification CPLD/FPGA Target-independent part GA/standard cell Target-dependent part Device selected Programming Prototyping Device selected Synthesis and optimization Post-synthesis verification Place and route Timing analysis Programming Prototyping Cell library selected Synthesis and optimization Post-synthesis verification Place and route Timing analysis Prototyping Synthesis Implementation -5

6 .2. Structural Description Structural Description in Verilog HDL - 주어진블록을다른 primitives 의연결로나타냄. - Symbol, schematic, HDL code 로구성. - 설계자는 symbol 과 schematic 을작성하고, HDL code 는 schematic 으로부터자동적으로생성됨. a b a b Add_half (symbol) c_out_bar sum c_out sum c_out module Add_half (sum, c_out, a, b); input a, b ; output sum, c_out ; wire c_out_bar ; xor(sum, a, b) ; nand (c_out_bar, a,b) ; not (c_out, c_out_bar) ; endmodule (schematic) (HDL code).2 Overview of Verilog HDL

7 .2. Structural Description HDL code module module_name(module_port_list); // declaration of port modes input input_mode_module_port_list ; output output_mode_module_port_list ; // declaration of internal signals wire internal_signal_list ; // design primitives primitive_name(signal_list) ; primitive_name(signal_list) ; primitive_name(signal_list) ; endmodule a b c_out_bar module Add-half (sum, c_out, a, b); // declaration of port modes input a, b ; output sum, c_out ; // declaration of internal signals wire c_out_bar ; // design primitives xor (sum, a, b) ; nand (c_out_bar, a, b) ; not (c_out, c_out_bar) ; endmodule sum c_out.2 Overview of Verilog HDL

8 .2.2 Behavioral Description Behavioral Description in Verilog HDL - input 이어떠한값일때 output 이어떠한값을갖는지를기술. - Symbol, HDL code 로구성되고 schematic 은없음. - 설계자는 symbol 과 HDL code 만을작성. a b Add_half (symbol) sum c_out module Add_half (sum, c_out, a, b); input a, b ; output sum, c_out ; reg sum, c_out ; always@(a or b) begin sum = a ^ b ; c_out = a & b; end endmodule (HDL code).2 Overview of Verilog HDL

9 .2.2 Behavioral Description HDL code module module_name(module_port_list); a b Add_half sum c_out // declaration of port modes input input_mode_module_port_list ; output output_mode_module_port_list ; // declaration of abstract memory variables reg output_mode_module_port_list ; // behavioral function always@(event_list) begin output = f(input) ; output = f(input) ; output = f(input) ; end endmodule module Add_half (sum, c_out, a, b); // declaration of port modes input a, b ; output sum, c_out ; // declaration of abstract memory variables reg sum, c_out ; // behavioral function always@(a or b) begin sum = a ^ b ; c_out = a & b ; end endmodule.2 Overview of Verilog HDL

10 .2.3 Example of Verilog HDL Behavioral Description of D-type flip-flop rst data_in Flip_flop clk q module Flip_flop (q, data_in, clk, rst); // declaration of port modes input data_in, clk, rst ; output q ; // declaration of abstract memory variables reg q ; // behavioral function always@(posedge clk) begin if (rst==) q = ; else q = data_in ; end endmodule.2 Overview of Verilog HDL

11 .2.3 Example of Verilog HDL Behavioral Description of 4-bit adder a b c_in 4 4 Adder_4 4 c_out sum module Adder_4 (sum, c_out, a, b, c_in); // declaration of port modes input [3:] a, b ; input c_in ; output [3:] sum ; output c_out ; // behavioral function assign {c_out, sum} = a + b + c_in ; endmodule.2 Overview of Verilog HDL

12 2. Syntax

13 2.. Module Module: Basic unit of design in Verilog HDL Module is never declared within another module. Structural description and behavioral descriptions can be mixed together in a single module. A module consists of: () Module port : input, output, inout (2) Module implementation (2-) Sub-modules and connections (Structural description) (2-2) Behavioral functions (Behavioral description) 2. Verilog Module

14 2..2 Module Instantiation Add_full c_in a b M a sum Add_half b c_out w w2 a sum Add_half b c_out M2 w3 M3 sum c_out module Add_full (sum, c_out, a, b); input a, b, c_in ; output sum, c_out ; wire w, w2, w3 ; Add_half M (w, w2, a, b) ; Add_half M2 (sum, w3, w, c_in) ; or M3 (c_out, w2, w3) ; endmodule module Add-half (sum, c_out, a, b); input a, b ; output sum, c_out ; wire c_out_bar ; xor (sum, a, b) ; nand (c_out_bar, a, b) ; not (c_out, c_out_bar) ; endmodule 2. Verilog Module

15 2.2. Primitives Primitive: Predefined module (=Predefined structural/functional element) Module Primitive Built-in Verilog Primitives Combitional Logic and nand or nor xor xnor buf not Three State bufif bufif notif notif MOS Gate nmos pmos rnmos rpmos CMOS Gate cmos rcmos Bi-directional Gate tran tranif tranif rtran rtranif rtranif Pull Gate pullup pulldown 2.2 Verilog Primitive

16 2.2.2 Delay Modeling # N: 입력과출력사이의지연시간을 N unit delay 로설정 #: unit delay module AOI_4_unit (y_out, x_in, x_in2, x_in3, x_in4); x_in x_in2 x_in3 x_in4 y y2 y_out input x_in, x_in2, x_in3, x_in4 ; output y_out ; wire y, y2 ; and # (y, x_in, x_in2) ; and # (y2, x_in3, x_in4) ; nor # (y_out, y, y2) ; endmodule 2.2 Verilog Primitive

17 2.3.2 Behavioral Description Continuous Assignment: - operator 를사용하여 combinational logic 을기술 a b 8 8 Bit_or8_gate 8 module Bit_or8_gate (y, a, b) ; input [7:] a, b ; output [7:] y ; assign y = a b ; endmodule y 2.3 Descriptive Style

18 Useful Operators Operator + - ~ & ^ ~& ~ ~^ arithmetic operation addition subtraction bitwise operation negation and or exclusive-or nand nor exclusive-nor module Nand2_RTL (y, x, x2) ; input x, x2 ; output y ; assign y = ~(x & x2) ; endmodule module And2_8bit_RTL (y, x, x2) ; input [7:] x ; input [7:] x2 ; output [7:] y ; assign y = x & x2 ; endmodule 2.3 Descriptive Style

19 Useful Operators Operator << >> ~ & ^ ~& ~ ~^ shift operation shift left shift right reduction operation negation and or exclusive-or nand nor exclusive-nor module Shl_4bit_RTL (y, x, c) ; input [3:]x ; input [:]c ; output [3:]y ; assign y = x << c; endmodule module And4_RTL (y, x) ; input [3:]x ; output y ; assign y = &x ; endmodule 2.3 Descriptive Style

20 Useful Operators Operator! && ==!= < <= > >= logical operation negation and or equal inequal relational operation less less or equal greater greater or equal module And2_algo (y, x, x2) ; input x, x2 ; output y ; reg y ; always@(x or x2) begin if ((x==)&&(x2==)) y = ; else y = ; end endmodule 2.3 Descriptive Style

21 2.3.2 Operator Concatenation: { } 기호를사용하여두개이상의 signal 을하나의 binary number 처럼취급 a b c_in 4 4 Adder_4 4 c_out sum module Adder_4 (sum, c_out, a, b, c_in) ; // declaration of port modes input [3:] a, b ; input c_in ; output [3:] sum ; output c_out ; // behavioral function assign {c_out, sum} = a + b + c_in ; endmodule 2.3 Descriptive Style

22 2.5.3 Sequential & Concurrent Execution of Statements Module 내의모든 statement 는 기본적으로병렬수행 always ( ) begin end 의내부에서는 그내부에서만순차적으로수행 a clk b clk 두개의 FF 은병렬적으로수행 c module Ring_osc2 (a, clk) ; input clk ; output a ; reg b, c ; // inverter not (a,c) ; // st flip-flop always@(posedge clk) begin b = a ; end // 2nd flip-flop always@(posedge clk) begin c = b ; end endmodule 2.5 Behavioral Description

23 2.5.3 Sequential & Concurrent Execution of Statements Structural Description & RTL Description (assign) : 입력신호가바뀔때마다출력신호가바뀜. // Structural description not (b,a) ; // RTL description assign c = ~b ; Algorithm-based Description (always, if) : always 이바뀔때마다 begin end 가수행됨. always@(posedge clk) begin b = a ; end 2.5 Behavioral Description

24 2.5.4 Wire & Reg Wire - Structural Description에쓰임 - module과 primitive를연결하는 signal 중에서 input, output을제외한모든 signal을 wire로선언 module Add_half (sum, c_out, a, b); a b c_out_bar sum c_out input a, b ; output sum, c_out ; wire c_out_bar ; xor (sum, a, b) ; nand (c_out_bar, a,b) ; not (c_out, c_out_bar) ; endmodule 2.5 Behavioral Description

25 2.5.4 Wire & Reg Reg - Algorithm-based Description에쓰임 - 대입문 (A = B) 의 A에해당하는모든 signal을 reg로선언 module Flip_flop (q, data_in, clk, rst) ; input data_in, clk, rst ; output q ; reg q ; always@(posedge clk) begin if (rst==) q = ; else q = data_in ; end endmodule 2.5 Behavioral Description

26 2.9. Synthesis Methods Synthesis : HDL description Physical gates and connections Synthesis for Various Description Methods - Structural description : primitive를 gate로치환한다음 logic optimization을실시 - RTL description : 각각의 assign문을 gate로변환한다음 logic optimization을실시 - Algorithm-based description : always...begin end문안의내용을 Verilog HDL이분석한다음, 그내용을수행할수있는논리회로를생성해서 gate로바꾼다음 logic optimization을실시 2.9 Synthesis

27 2.9.2 Synthesizability Synthesizability of Various Description Methods - Structural Description : 각모듈이하드웨어요소와 대로대응되므로합성이매우쉬움 - RTL Description : behavioral description이지만 assign문을손쉽게하드웨어요소로변환할수있으므로합성이비교적쉬움 - Algorithm-based Description : 프로그래밍언어로기술하므로합성이비교적어려움 - Synthesizability: Structural > RTL > Algorithm-based 2.9 Synthesis

28 2.9.3 Flexibility & Design Time Flexibility and Design Time of Various Description Methods - Structural Description : 설계를수정, 확장하기번거롭고복잡한시스템을설계하기불편하다. - RTL Description : Structural 과 Algorithm-based의중간 - Algorithm-based Description : 사람이생각하는방식대로프로그래밍언어를사용하여기술하므로, 수정, 확장이용이하고설계시간이짧음 - Flexibility: Structural < RTL < Algorithm-based - Design Time: Structural > RTL > Algorithm-based 2.9 Synthesis

29 2.. Representation of Numbers number value stored binary pattern Numbers in Verilog HDL 2 b 2 - <size> <base_format><number> 4 d - b: binary (2) 4 o 8 d: decimal () o: octal (8) h: hexadecimal (6) - number가 x 나 z 로시작하면, 자릿수를맞추고남은부분을 8 ha 5 bzx 2 hxa bz zzzx xxxxxxxx z.z(32bits) 모두 x 나 z 로채움. 3 b5 Invalid! Invalid! 2 da Invalid! Invalid! 2. Representation of Numbers

30 3. Simulation

31 3.2. Verilog HDL Simulation Design Unit Testbench (DUTB) : Verilog HDL 에서시뮬레이션을하기위해서만드는 module Unit under Test (UUT) : 시뮬레이션당하는 module Design Unit Testbench = 시뮬레이션해야할 module + stimulus generator + response monitor Stimumus generator, response monitor 는 Verilog HDL 로 기술되지만, 실제설계할하드웨어와는전혀관계가없다. 3.2 Testbench

32 3.2.2 Active-Low NAND Latch module Nand_Latch_ (q,qbar,preset,clear); output q, qbar; input preset, clear; preset # q nand # G (q, preset, qbar), G2 (qbar, clear, q); endmodule clear # qbar Preset Clear q(n+) qbar(n+) q(n) qbar(n) active low 라함은 Preset,Clear 가 일때에각각 preset latch, clear latch 의동작을수행한다는뜻이다. 3.2 Testbench

33 3.2.3 Design Unit Testbench (DUTB) 실제하드웨어테스트 Verilog HDL Simulation Design_Unit_Testbench (DUTB) Signal Generator Chip Under Test Stimulus Generator Unit_Under_Test (UUT) Oscilloscope Response Monitor 3.2 Testbench

34 3.2.3 Design Unit Testbench (DUTB) DUTB preset Stimulus Generator time UUT clear time Response Monitor 3.2 Testbench

35 3.2.4 Stimulus Generator preset time clear time # delay 후 signal change Nothing No change initial begin # preset = ; clear = ; # preset = ; # clear = ; # clear = ; # preset = ; end initial #6 $finish; 3.2 Testbench

36 3.2.5 Response Monitor initial begin $monitor( time=%2d preset=%b clear=%b q=%b qbar=%b,$time,preset,clear,q,qbar); end C++ Analogy %b:2 진수, %o:8 진수, %d: 진수, %h:6 진수 $time: simulation time 을나타내는함수 C++ Analogy printf( time=%2d preset=%d clear=%d q=%d qbar=%d, time(),preset,clear,q,qbar); 3.2 Testbench

37 3.2.6 Monitor Output When there is an event. preset clear q qbar time time time time 52 time= preset=x clear=x q=x qbar=x time= preset= clear= q=x qbar=x time= preset= clear= q= qbar=x time=2 preset= clear= q= qbar= time=2 preset= clear= q= qbar= time=3 preset= clear= q= qbar= time=3 preset= clear= q= qbar= time=32 preset= clear= q= qbar= time=4 preset= clear= q= qbar= time=5 preset= clear= q= qbar= time=5 preset= clear= q= qbar= time=52 preset= clear= q= qbar= 3.2 Testbench

38 3.2.7 DUTB Codes DUTB module test_nand_latch_; reg preset, clear; wire q, qbar; // Unit under Test Nand_Latch_ M (q,qbar,preset,clear); preset clear Stimulus Generator UUT Response Monitor q qbar // Stimulus Generator initial begin # preset = ; clear = ; # preset = ; # clear = ; # clear = ; # preset = ; end initial #6 $finish; // Response Monitor initial begin $monitor( time=%2d preset=%b clear=%b q=%b qbar=%b,$time,preset,clear,q,qbar); end endmodule

39 4. Advanced Syntax

40 4.3. Net and Register Net - data types: wire, supply, supply - structural description에주로쓰임 - physical connection을의미 Register - data types: reg, integer, real... - behavioral description에주로쓰임 - program variable (FF out, 기억의의미 ) 을의미 4.3 Data Types

41 4.3.2 How to Find Out Net and Register Register - 대입문 (A=B) 에서 A에해당하는모든 signal을 register로선언 - assign 명령어가들어가있는 continuous assignment에서는대입문이있더라도 register로선언되지않는다. Net - module 과 primitive 를연결하는 signal 중에서 input, output, register 를제외한모든 signal 을 net 로선언 4.3 Data Types

42 4.3.3 Example of Net and Register module A(O, O, I, I, I2, I3, Clk); g input I, I, I2, I3, Clk ; output O, O ; reg O, O; supply g ; wire a, b, s, c ; I I I2 I3 ^ & a b A Cin Sum Add_full B Cout s c Clk Clk O O assign a = I ^ I ; assign b = I2 & I3 ; Add_full M (s,c,a,b,g) ; always@(posedge clk) begin O = s ; end always@(posedge clk) begin O = c ; end endmodule 4.3 Data Types

43 4.3.7 Hierarchical Referencing test_add_rca_4 module test_add_rca_4; reg [3:] a, b; reg c_in; wire [3:] sum; wire c_out; a[3:] b[3:] c_in Add_rca_4 M sum[3:] A c_out M.A initial begin $monitor ($time, c_out=%b c_in4=%b c_in3=%b c_in2=%b c_in=%b, c_out, M.c_in4, M.c_in3, M.c_in2, c_in); end Add_rca_4 M(sum,c_out,a,b,c_in); endmodule a[3] b[3] a[2] b[2] a[] b[] a[] b[] c_in Add_full G4 G3 G2 G Add_full Add_full Add_full c_in4 c_in3 c_in2 c_out sum[3] sum[2] sum[] sum[]

44 4.6. Operator + - * / % arithmetic operator addition subtraction multiplication division modulus & ~& ~ ^ ~^ 또는 ^~ reduction operator reduction AND reduction NAND reduction OR reduction NOR reduction XOR reduction XNOR ~ & ~& ~ ^ ~^ 또는 ^~ bitwise operator bitwise negation bitwise AND bitwise NAND bitwise OR bitwise NOR bitwise XOR bitwise XNOR! && ==!= logical operator logical negation logical and logical or logical equality logical inequality 4.6 Operator

45 4.6. Operator relational operator shift operator < <= > >= less than less than or equal to greater than greater than or equal to << >> left shift right shift concatenation operator { A,B } concatenate A and B A? B : C conditional operator if A then B else C a[4:] = {c_out,c_in[3:]}; a = (select==)? b : c; c_out c_in[3:] b select== c select!= a[4:] a 4.6 Operator

46 7. Behavioral Description

47 7.2. Behavioral Statement Behavioral Statement - initial 또는 always로시작 - initial로시작되는 behavioral statement는 번만수행됨 - always로시작되는 behavioral statement는반복수행됨 - 모든 behavioral statement는각각병렬로수행됨 - behavioral statement 내부의 begin end 사이에서는순차적으로수행됨 7.2 Behavioral Statement

48 7.2. Behavioral Statement Clock Generator Example # module clock_gen (clock); parameter Clock_period = ; parameter Clock_disable = ; output clock; reg clock; initial clock = ; always begin #Clock_period/2 clock = ~clock; end initial #Clock_disable $finish; endmodule clock = #5 clock = ~clock # $finish Behavioral Statement

49 7.2. Behavioral Statement Clock Generator Example #2 module clock_gen2 (clock); parameter Clock_period = ; parameter Clock_period2 = 4; output clock; reg clock; initial clock = ; always begin #Clock_period clock = ; #Clock_period2 clock = ; end clock = # clock = ; #4 clock = endmodule Behavioral Statement

50 7.5.5 WAIT WAIT - event: 정해진 signal의값이변화하거나정해진 event가발생할때수행됨. - WAIT: 정해진조건이만족될때까지실행문의수행을멈추고기다림 module df(q,q_bar,clk,set,reset,data); output q,q_bar; input clk,set,reset,data; reg q; assign q_bar = ~q; clk) begin if (reset==) q=; else if (set==) q=; else q = data; end endmodule (D flip-flop) (D Latch) module latch(q,q_bar,clk,set,reset,data); output q,q_bar; input clk,set,reset,data; reg q; assign q_bar = ~q; always begin wait (clk==); if (reset==) q=; else if (set==) q=; else q = data; end endmodule 7.5. Timing Control and Synchronization

51 7.2.? : module mux(y, sel, a, b); input sel; input [5:] a, b; output [5:] y; reg [5:] y; or b or sel) y = (sel)? a : b; endmodule 7.2 Activity Flow Control

52 7.2.2 CASE module mux(y, sel, a, b); input sel; input [5:] a, b; output [5:] y; reg [5:] y; or b or sel) begin case (sel) : y = a; : y = b; default y = bx; endcase end endmodule 7.2 Activity Flow Control

53 7.2.3 IF ELSE module mux(y, sel, a, b); input sel; input [5:] a, b; output [5:] y; reg [5:] y; or b or sel) begin if (sel==) y = a; else y = b; end endmodule 7.2 Activity Flow Control

54 7.2.4 REPEAT module count_s(a, b); input [5:] a; output [4:] b; reg [4:] b; integer i; initial begin b = ; i = ; repeat (6) begin if (a[i]==) b = b + ; i = i + ; end end endmodule 7.2 Activity Flow Control

55 7.2.5 FOR module count_s(a, b); input [5:] a; output [4:] b; reg [4:] b; integer i; initial begin b = ; for (i=; i<6; i=i+) if (a[i]==) b = b + ; end endmodule 7.2 Activity Flow Control

56 7.2.6 WHILE module count_s(a, b); input [5:] a; output [4:] b; reg [4:] b; integer i; initial begin b = ; i = ; while (i<6) begin if (a[i]==) b = b + ; i = i + ; end end endmodule 7.2 Activity Flow Control

57 7.2.7 REPEAT and WHILE module count_s(a, b); input [5:] a; output [4:] b; reg [4:] b; integer i; initial begin b = ; i = ; repeat (6) begin if (a[i]==) b = b + ; i = i + ; end end endmodule repeat 문은고정된횟수만큼 loop 를수행하고, while 은주어진조건을만족하는동안 loop 를수행한다. module count_s(a, b); input [5:] a; output [4:] b; reg [4:] b; integer i; initial begin b = ; i = ; while (i<6) begin if (a[i]==) b = b + ; i = i + ; end end endmodule 7.2 Activity Flow Control

58 System Tasks for Simulation $display displays values of variables, string, or expressions $display(ep, ep2,, epn); ep, ep2,, epn: quoted strings, variables, expressions. $monitor monitors a signal when its value changes. $monitor(ep, ep2,, epn); $monitoton enables monitoring operation. $monitotoff disables monitoring operation. $stop suspends the simulation. $finish terminates the simulation. -58

59 Time Scale for Simulations Time scale compiler directive `timescale time_unit / time_precision The time_precision must not exceed the time_unit. For instance, with a timescale ns/ ps, the delay specification #5 corr esponds to 5 ns. It uses the same time unit in both behavioral and gate-level modeling. For FPGA designs, it is suggested to use ns as the time unit. -59

60 Modeling and Simulation Example (A 4-bit adder) // Gate-level description of 4-bit adder module four_bit_adder (x, y, c_in, sum, c_out); input [3:] x, y; input c_in; output [3:] sum; output c_out; wire C,C2,C3; // Intermediate carries // -- four_bit adder body-- // Instantiate the full adder full_adder fa_ (x[],y[],c_in,sum[],c); full_adder fa_2 (x[],y[],c,sum[],c2); full_adder fa_3 (x[2],y[2],c2,sum[2],c3); full_adder fa_4 (x[3],y[3],c3,sum[3],c_out); endmodule -6

61 Modeling and Simulation Example (A 4-bit adder) c_in [] [] [] fa_.ha_.c fa_.ha_2.s x[3:] y[3:] [3:] [3:] [] [] fa_.ha_.s fa_.ha_2.c fa_.cout [] [] full_adder x S y Cout Cin fa_2 [] [2] [2] full_adder x S y Cout Cin fa_3 [2] [3] [3] full_adder x S y Cout Cin fa_4 [3] [3:] sum[3:] c_out After dissolving one full adder. -6

62 Modeling and Simulation Example (A Test Bench) `timescale ns / ps // time unit is in ns. module four_bit_adder_tb; //Internal signals declarations: reg [3:] x; reg [3:] y; reg c_in; wire [3:] sum; wire c_out; // Unit Under Test port map Tools used before or after Verilog-XL in the design process, such as pre-layout and postlayout tools, produce Standard Delay Format (SDF) files. These files can include timing information for the following: Delays for module io paths, devices, ports, and interconnect delays Timing checks Timing constraints Scaling, environmental, technology, and user-defined parameters SDF files are the input for the SDF annotator, which uses the PLI as an interface to backannotate timing information into Verilog HDL designs. four_bit_adder UUT (.x(x),.y(y),.c_in(c_in),.sum(sum),.c_out(c_out)); reg [7:] i; initial begin // for use in post-map and post-par simulations. // $sdf_annotate ("four_bit_adder_map.sdf", four_bit_adder); // $sdf_annotate ("four_bit_adder_timesim.sdf", four_bit_adder); end -62

63 Modeling and Simulation Example (A Test Bench) initial for (i = ; i <= 255; i = i + ) begin x[3:] = i[7:4]; y[3:] = i[3:]; c_in ='b; #2 ; end initial #6 $finish; initial $monitor($realtime, ns %h %h %h %h", x, y, c_in, {c_out, sum}); endmodule -63

64 Modeling and Simulation Example (Simulation Results) # ns # 2ns # 4ns 2 2 # 6ns 3 3 # 8ns 4 4 # ns 5 5 # 2ns 6 6 # 4ns 7 7 # 6ns 8 8 # 8ns 9 9 # 2ns a a # 22ns b b # 24ns c c # 26ns d d # 28ns e e # 3ns f f # 32ns # 34ns 2 # 36ns 2 3 # 38ns 3 4 # 4ns 4 5 # 42ns 5 6 # 44ns 6 7 # 46ns 7 8 # 48ns 8 9 # 5ns 9 a # 52ns a b # 54ns b c -64

65 Modeling and Simulation Example -65

66 Verilog Example ( 또한개의파일의설명후 Desktop Calculator 중점 Project 추진 )

67 실험. Adder/Subtractor

68 . MUX Multiplexer 2 n data inputs, n control inputs, output used to connect 2 n points to a single point control signals = binary index of input connected to output I I S= S= Z Choose I if S = Choose I if S =. MUX

69 . MUX 2 to Multiplexer (2: MUX) Z = S' I + S I 4 to Multiplexer (4: MUX) Z = S' S' I + S' S I + S S' I 2 + S S I 3 I I I I I 2 I 3 2: MUX S 4: MUX Z Z I I I I I 2 I S S[:] 2 Z Z 8 to Multiplexer (8: MUX) Z = S2' S' S' I + S2' S' S I + S2' S S' I 2 + S2' S S I 3 + S2 S' S' I 4 + S2 S' S I 5 + S2 S S' I 6 + S2 S S I 7 I I I 2 I 3 I 4 I 5 I 6 I 7 S 8: MUX S Z I I I 2 I 3 I 4 I 5 I 6 I 7 S[2:] Z S 2 S S. MUX

70 . MUX Gate implementation of MUX S AND input S S Z I I S I S AND input S S S S S S S S Z I I I2 I3 I I Z Z I 2 I I 3 S S S. MUX

71 . MUX 8: MUX from 4: MUX and 2: MUX I I I 2 I 3 I 4 I 5 I 6 S C S C S C 2 3 S A S B Z I I I 2 I 3 I 4 I 5 I 6 I S B 2 3 S S C S S A Z I 7 S B C C. MUX

72 .2 2 s Complement s complement = bitwise complement + -> + -> (representation of -3) -> + -> (representation of 3) like 's comp except shifted one position clockwise = + 3 = Number range for n bits: - 2 n- ~ (2 n- - ) - Only one representation of - One more negative number than positive number - Easy addition / subtraction.2 Addition / Subtraction

73 .2 Addition and Subtraction 2 s Complement 2 s complement = bitwise complement + -> + -> (representation of -7) -> + -> (representation of 7) 덧셈 부호없는덧셈을수행한다. 뺄셈 2 s complement 를사용하여덧셈으로변환한후, 2 부호없는덧셈을수행한다. 4 + (+3) (+3) -4 + (-3) (-3) - 2 s complement 는덧셈, 뺄셈이간단하기때문에대부분의디지털회로에사용된다..2 Addition / Subtraction

74 .2 Addition and Subtraction (-3) - (+3) - (-3) (-2) - (+2) - (-2) (-2) - (+2) - (-2) Addition / Subtraction

75 .2 Overflow Add two positive numbers to get a negative number or two negative numbers to get a positive number = = +7.2 Addition / Subtraction

76 .3 Adder Cascaded Multi-bit Adder C2 C C A3 A2 A A A3 B3 A2 B2 A B A B B3 B2 B B S3 S2 S S + FA + FA + FA + HA S3 C2 S2 C S C S.3 Adder / Subtractor

77 .3 Half Adder Half Adder C A i B i Ai Bi Sum Carry Ai Bi Sum = Ai Bi + Ai Bi = Ai + Bi Ai Bi Carry = Ai Bi S A i Sum B i Carry Half-adder Schematic.3 Adder / Subtractor

78 .3 Full Adder Full Adder Co Ci Ai Bi S A B Ci S Co S = Ci xor A xor B S Co Ci Ci A B A B Co = B Ci + A Ci + A B = Ci (A + B) + A B.3 Adder / Subtractor

79 .3 Full Adder Standard Approach: 6 Gates A B Ci S A B Ci A B Co Alternative Implementation: 5 Gates A B Half S Adder Co A B A + B Half S Adder Co A + B + Ci Ci (A + B) S Ci + Co A B + Ci (A + B) = A B + B Ci + A Ci.3 Adder / Subtractor

80 .3 Adder / Subtractor Bitwise complement of B A - B = A + (-B) = A + (B+) = A + B + 2 s complement of B (Addition) C = A + B (Subtraction) C = A + B + A 4-bit Adder C (Addition) C = A + B + Mode if Mode= (Subtraction) C = A + B + Mode if Mode= B Mode B.3 Adder / Subtractor

81 .3 Adder / Subtractor A3 B3 A2 B2 A B A B A B A B A B A B Co FA Ci Co FA Ci Co FA Ci Co FA Ci S S S S S3 S2 S S Mode.3 Adder / Subtractor

82 .4 Adder/Subtractor 실험 () p.5 의회로를 Verilog HDL 모듈로표현하세요. Schematic A[3] B[3] A[2] B[2] A[] B[] A[] B[] A[3:] B[3:] M ADDSUB Symbol S[3:] A B A B A B A B Verilog HDL Co Ci Co Ci Co Ci Co Ci S S S S S[3] S[2] S[] S[] M module ADDSUB(S, A, B, M) endmodule.4 Adder / Subtractor 실험

83 .4 Adder/Subtractor 실험 (2) p.6 에사용된 full adder 와 mux 를 p.3 과 p.4 를참조하여 Verilog HDL 모듈로표현하세요. A B Ci A Co I I Z B S.4 Adder / Subtractor 실험

84 .4 Adder/Subtractor 실험 (3) 다음과같은연산을검증할수있도록 A[3:], B[3:], M을생성하는 stimulus generator를 Verilog HDL 코드로표현하세요. ( 가 ) 시뮬레이션끝 -4 A XXXX + (-3) -7 4 ( 나 ) B XXXX - (-3) 7 M X addition subtraction Adder / Subtractor 실험

85 .4 Adder/Subtractor 실험 (4) 시간 $time, 입력신호 A, B, M, 출력신호 S 를측정하는 response monitor 를 Verilog HDL 코드로표현하세요. initial begin $monitor( Time=%3d A=%4b B=%4b M=%b S=%4b,$time,A,B,M,S); end C++ Analogy %b:2 진수, %o:8 진수, %d: 진수, %h:6 진수 $time: simulation time 을나타내는함수 C++ Analogy printf( A=%4b B=%4b M=%4b S=%4b, time(),a,b,m,s);.4 Adder / Subtractor 실험

86 .4 Adder/Subtractor 실험 (5) 앞의 ()-(4) 에서작성한 Verilog HDL 코드를조합하여, () 의회로를테스트하는 DUTB의 Verilog HDL 코드를작성하세요. (6) SILOS 프로그램을사용하여 (5) 에서작성한 DUTB를시뮬레이션하고, 출력신호 S가시간에따라어떻게변하는지관찰하세요..4 Adder / Subtractor 실험

87 실험 2. Decoder

88 2. Decoder Decoder n control inputs, 2 n outputs used to make one point to out of 2 n points used as a minterm generator control signals = binary index of minterm S= S= Z Z Z = if S = Z = if S = 2. Decoder

89 2. Decoder Decoder O O (control) input I 2-to-4 decoder I-th output is output O O 2 O 3 I I I O O O 2 O I I 2. Decoder

90 2. Decoder Decoder as a minterm generator F = A'B'CD + A'BC'D + ABCD F 2 = ABC'D' + ABCD' + ABCD F 3 = A' + B' + C' + D' = (ABCD)' A B C D S 3 S 2 S S 4-to-6 decoder A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D F 3 F F 2 2. Decoder

91 2.2 CASE 문 module mux(y, sel, a, b); input sel; input [5:] a, b; output [5:] y; reg [5:] y; or b or sel) begin case (sel) : y = a; : y = b; default y = bx; endcase end endmodule 2.2 CASE 문

92 2.2 CASE 문 I I I O O O 2 O 3 module dec2to4(o, i); input [:] i; output [3:] o; reg [3:] o; 2 3 begin case (i) 2 b : o = 4 b; 2 b : o = 4 b; 2 b : o = 4 b; 2 b : o = 4 b; default y = 4 bxxxx; endcase end endmodule 2.2 CASE 문

93 2.3 Decoder 실험 () () p.23의회로를아래그림과같이수정한후, Verilog HDL 모듈로표현하세요. I[] I[] Symbol Schematic I[:] DECODER O[3:] Ib[] Ib[] Ob[] 4 Ob[] 3 Ob[2] 3 Ob[3] 2 2 O[3] O[2] O[] O[] Verilog HDL module DECODER( ) endmodule 2.3 Decoder 실험 ()

94 2.3 Decoder 실험 () (2) 2-to-4 Decoder 를검증할수있도록다음과같은 파형의입력신호 I 를생성하는 stimulus generator 를 Verilog HDL 코드로표현하세요. I[] I[] O[] O[] O[2] O[3] 시뮬레이션끝 I XX time 2.3 Decoder 실험 ()

95 2.3 Decoder 실험 () (3) 시간 $time, 입력신호 I, 출력신호 O를측정하는 response monitor를 Verilog HDL 코드로표현하세요. (4) 앞의 ()-(3) 에서작성한 Verilog HDL 코드를조합하여, () 의회로를테스트하는 DUTB의 Verilog HDL 코드를작성하세요. (5) SILOS 프로그램을사용하여 (4) 에서작성한 DUTB를시뮬레이션하고, 출력신호 O가시간에따라어떻게변하는지관찰하세요. 2.3 Decoder 실험 ()

96 2.4 Decoder 실험 (2) () p.26 을참조하여 2-to-4 Decoder 를 CASE 문을사용하여 Verilog HDL 모듈로표현하세요. 이때, 입력이들어가서출력이 나오기까지의 Delay 는 5 이라고가정하세요. (2) p.28 을참조하여 2-to-4 Decoder 를검증할수있는입력 신호 I 를생성하는 stimulus generator 를 Verilog HDL 코드로 표현하세요. 2.4 Decoder 실험 (2)

97 2.4 Decoder 실험 (2) (3) 시간 $time, 입력신호 I, 출력신호 O를측정하는 response monitor를 Verilog HDL 코드로표현하세요. (4) 앞의 ()-(3) 에서작성한 Verilog HDL 코드를조합하여, () 의회로를테스트하는 DUTB의 Verilog HDL 코드를작성하세요. (5) SILOS 프로그램을사용하여 (4) 에서작성한 DUTB를시뮬레이션하고, 출력신호 O가시간에따라어떻게변하는지관찰하세요. 2.4 Decoder 실험 (2)

98 실험 3. BCD Adder

99 3. BCD (Binary-Coded Decimal) 숫자 BCD 합 BCD 숫자 X +Y 2 Z 숫자 BCD BCD X 8 +Y 5 Z 3 숫자 BCD X 7 +Y 3 Z 숫자 BCD X 9 +Y 9 Z 8 3. BCD

100 3.2 BCD Adder 실험 () 다음그림과같은 4bit BCD (binary-coded decimal) adder 를 Verilog HDL 모듈로표현하세요. X[3:] Y[3:] c_out L M K A[3:] B[3:] CO Add_4 C[3:] N3 N2 N N CI c_in logic O A[3:] B[3:] CO Add_4 C[3:] CI logic Z[3:] 3.2 BCD Adder 실험

101 3.2 BCD Adder 실험 (2) p.34 에사용된 Add_4 를 Verilog HDL 모듈로표현하세요. (structural description 이든 behavioral description 이든관계 없으며, delay 는 이라고가정하세요.) 3.2 BCD Adder 실험

102 3.2 BCD Adder 실험 (3) 시간에따른 X, Y 값이다음과같도록하는 stimulus generator 를 Verilog HDL 코드로표현하세요. 시간이 눈금지난후에 X[3:] 이숫자 6(=) 의값을가지게하는 HDL 코드는 # X = 4 b 가된다. 시간 X Y 시뮬레이션끝.4 BCD Adder 실험

103 3.2 BCD Adder 실험 (4) 시간 $time, 입력신호 X, Y, 출력신호 X+Y를측정하는 response monitor를 Verilog HDL 코드로표현하세요. 이때, c_out, Z[3:] 이하나로묶여서 5bit 값으로출력되는것에주의하세요. time= X= Y= X+Y= 3.2 BCD Adder 실험

104 3.2 BCD Adder 실험 (5) 앞의 ()-(4) 에서작성한 Verilog HDL 코드를조합하여, () 의회로를테스트하는 DUTB의 Verilog HDL 코드를작성하세요. (6) SILOS 프로그램을사용하여 (5) 에서작성한 DUTB를시뮬레이션하고, 출력신호 X+Y가시간에따라어떻게변하는지관찰하세요. (7) 이실험에서만든모든파일을저장해서보관하세요. 다음실험에다시사용됩니다. 3.2 BCD Adder 실험

105 실험 4. Desktop Calculator

106 4. Desktop Calculator 실험 () 다음그림은 4자리의 진수로표시되는 6비트 BCD 숫자두개를더해서 5자리의 7-segment LED에표시하는계산기의블록도입니다. 이블록을 Verilog HDL 모듈로표현하세요. A3[3:] B3[3:] A2[3:] B2[3:] A[3:] B[3:] A[3:] B[3:] X[3:] Y[3:] X[3:] Y[3:] X[3:] Y[3:] X[3:] Y[3:] c_out c_in C2 c_out c_in C c_out c_in C c_out c_in BCD_Add_4 BCD_Add_4 BCD_Add_4 BCD_Add_4 Z[3:] Z[3:] Z[3:] Z[3:] D3[3:] D2[3:] D[3:] D[3:] X[3:] X[3:] X[3:] X[3:] BCD_LED_7 BCD_LED_7 BCD_LED_7 BCD_LED_7 Y[6:] Y[6:] Y[6:] Y[6:] logic L4 L3[6:] L2[6:] L[6:] L[6:] (Schematic) 4. Desktop Calculator 실험

107 4. Desktop Calculator 실험 A3[3:] B3[3:] A2[3:] B2[3:] A[3:] B[3:] A[3:] B[3:] BCD_Add_Calc L4 L3[6:] L2[6:] L[6:] L[6:] (Symbol) 4. Desktop Calculator 실험

108 4. Desktop Calculator 실험 (2) 다음은각각의 4비트 BCD 숫자를 7-segment LED에표시하는 BCD_LED_7 블록을나타낸것입니다. 이블록을 Verilog HDL 모듈로표현하세요. 이때, case문을사용하면편리합니다. A B C D BCD_LED_7 Y[] Y[] Y[2] Y[3] Y[4] Y[5] Y[6] Y[5] Y[4] Y[] Y[6] Y[3] Y[] Y[2] Desktop Calculator 실험

109 4. Desktop Calculator 실험 (3) 시간에따른 A~A3, B~B3의숫자값이다음과같을때입력신호 A[3:], A[3:], A2[3:], A3[3:], B[3:], B[3:], B2[3:], B3[3:] 를생성하는 stimulus generator를 Verilog HDL 코드로표현하세요. 시간이 눈금지난후에 X[3:] 이숫자 6(=) 의값을가지게하는 HDL 코드는 # X = 4 b 가된다. 시간 A A A 3 A B3 4 9 B B B 시뮬레이션끝 4. Desktop Calculator 실험

110 4. Desktop Calculator 실험 (4) 시간 $time, 입력신호 A3~A, B3~B, 출력신호 L4~L 를 측정하여다음과같이출력하는 response monitor 를 Verilog HDL 코드로표현하세요. time= A=234 B=432 L= 4. Desktop Calculator 실험

111 4. Desktop Calculator 실험 (5) 앞의 ()-(4) 에서작성한 Verilog HDL 코드와, 이전실험에서작성했던 BCD_Add_4 모듈을조합하여, () 의회로를테스트하는 DUTB의 Verilog HDL 코드를작성하세요. (6) SILOS 프로그램을사용하여 (5) 에서작성한 DUTB를시뮬레이션하고, 출력신호 L4~L가시간에따라어떻게변하는지관찰하세요. 4. Desktop Calculator 실험

112 실험 5. Barrel Shifter

113 5. CASE 문 module mux(y, sel, a, b); input sel; input [5:] a, b; output [5:] y; reg [5:] y; or b or sel) begin case (sel) : y = a; : y = b; default y = bx; endcase end endmodule 5. CASE 문

114 5.2 FOR 문 module count_s(a, b); input [5:] a; output [4:] b; reg [4:] b; integer i; initial begin b = ; for (i=; i<6; i=i+) if (a[i]==) b = b + ; end endmodule 5.2 FOR 문

115 5.3 Barrel Shifter 실험 () Barrel Shifter는입력신호 I[7:] 와제어신호 C[:], S[2:] 을받아서, C=일때에는출력신호 O[7:] = I (load), C=일때에는 O = O>>S (shift right), C=일때에는 O=O<<S (shift left), C=일때에는 O값을그대로유지시키는블록입니다. 이때, 출력신호는클록신호 Clk의 positive edge에서 #2 만큼의시간이지난후에바뀌며, 쉬프트시킨빈자리에는 이채워집니다. 5.3 Barrel Shifter 실험

116 5.3 Barrel Shifter 실험 Clk C I[7:] O O I << S I >> S I S[2:] Clk I S C #2 B O A A «B (A «B)» C X A C I[7:] C[:] S[2:] O[7:] Clk Barrel_shifter O[7:] C[:] 5.3 Barrel Shifter 실험

117 5.3 Barrel Shifter 실험 (2) p.5의 Barrel Shifter를 case문과 for문을사용하여 Verilog HDL 모듈로표현하세요. 이때, shift operator <<, >> 를사용하지마세요. ( 힌트 ) C값에따라서 load, shift left, shift right 등의동작을수행하도록하는부분은 case 문으로, I[7:] 을, S[2:] 비트만큼쉬프트시켜서 O[7:] 을생성하는부분은 for 문으로기술하세요. 5.3 Barrel Shifter 실험

118 5.3 Barrel Shifter 실험 (3) 다음과같은입력신호를생성하는 stimulus generator 를 Verilog HDL 코드로표현하세요. time I[7:] C[:] S[2:] 시뮬레이션끝 5.3 Barrel Shifter 실험

119 5.3 Barrel Shifter 실험 (4) 시간 $time, 입력신호 I, C, S, 출력신호 O 를측정하여 다음과같이출력하는 response monitor 를 Verilog HDL 코드로 표현하세요. time= I= C= S= O=XXXXXXXX (5) (2) 에서기술한 Barrel_shifter 모듈을테스트할수있도록 DUTB 를완성한후, SILOS 프로그램을사용하여시뮬레이션하고, 출력 O 를시간에따라관찰하세요. 5.3 Barrel Shifter 실험

120 실험 6. ALU

121 6. ALU 실험 () ALU는산술연산 (arithmetic operation) 과논리연산 (logic operation) 을담당하는블록으로, 마이크로프로세서의핵심블록입니다. 이번실험에서설계할 8비트 ALU는아래와같은구조와기능을가집니다. S[2:] Output F = A F = A+B F = A-B F = -A F = A B F = A & B F = A ^ B F = ~A Function Transfer Addition Subtraction Negation OR AND XOR NOT A[7:] ALU F[7:] B[7:] S[2:] 6. ALU 실험

122 6. ALU 실험 A[7:] B[7:] A[7:] B[7:] add_unit S[:] S[:] add_unit logic_unit S[:] X[7:] X[7:] S[2] mux_8 F[7:] Y[7:] A[7:] B[7:] S[:] logic_unit Y[7:] 6. ALU 실험

123 6. ALU 실험 (2) 8비트덧셈기 add_8(o,a,b), 8비트뺄셈기 sub_8(o,a,b), 8비트 MUX인 mux_8(o,a,b,s) 을 behavioral description 방식으로 Verilog HDL로표현하세요. 이때, 문제에는 carry와 borrow가표시되어있지않으므로, 이를포함하여기술하세요. (3) p.56의 add_unit 모듈을 Verilog HDL 코드로표현하세요. 이때, behavioral description을사용하지말고, (2) 에서만든 add_8, sub_8, mux_8만을사용하여 structural description으로기술하세요. ( 힌트 ) F=A, F=A+B의계산은 add_8과 mux_8로, F=A-B, F=-A의계산은 sub_8로구현할수있습니다. mux_8은모두 4개가필요합니다. 6. ALU 실험

124 6. ALU 실험 (4) p.56의 logic_unit를 Verilog HDL로표현하세요. 이때, behavioral description을사용하지말고, Verilog HDL primitives인 or, and, xor, not와 (2) 에서만든 mux_8만을사용하여 structural description으로기술하세요. ( 힌트 ) mux_8은모두 3개가필요합니다. (5) p.55 의 ALU 를 add_unit 와 logic_unit 를사용하여 Verilog HDL 모듈로표현하세요. 6. ALU 실험

125 6. ALU 실험 (6) 다음과같은입력신호를생성하는 stimulus generator 를 Verilog HDL 코드로표현하세요. time A[7:] B[7:] S[2:] 시뮬레이션끝 6. ALU 실험

126 6. ALU 실험 (7) 시간 $time, 입력신호 A, B, S, 출력신호 F 를측정하여다음과 같이출력하는 response monitor 를 Verilog HDL 코드로표현하세요. time= A= B= S= F=XXXXXXXX (8) (5) 에서기술한 ALU 모듈을테스트할수있도록 DUTB 를완성하세요. (9) SILOS 프로그램을사용하여시뮬레이션하고, 출력 F 를시간에따라 관찰하세요. 6. ALU 실험

127 실험 7. BCD to Excess-3 Code Converter

128 7. State Machine Datapath/Control Approach: Simpler to Design Computer Hardware = Datapath + Control Combinational Functional Units Registers, Buses Qualifiers Control FSM generating sequences of control signals Complex to design Small in size Little repetition Sequential logic Simple to design Large in size Much repetition Combinational logic Qualifiers and Inputs Control State Datapath "Master of Puppets" Control Signal Outputs "Puppet" 7. State Machine

129 7. State Machine Parity Checker: assert output whenever input bit stream has odd # of 's Reset Even [] Present State Even Even Odd Odd Input Next State Even Odd Odd Even Output Symbolic State Transition Table (Symbol) Odd [] State Diagram Present State Input Next State Output Encoded State Transition Table (Binary Number) 7. State Machine

130 7. State Machine Implementation Next State/Output Functions NS = PS xor PI; OUT = PS Input CLK \Reset NS D R Q Q PS/Output Input CLK \Reset T R Q Q Output D FF Implementation T FF Implementation 7. State Machine

131 7.2 Timing Timing Behavior Input Clk Output Timing Behavior: Input 7.2 Timing

132 7.2 Timing Timing: When are inputs sampled, next state computed, outputs asserted? State Time: Time between clocking events - Clocking event causes state/outputs to transition, based on inputs - After propagation delay, Next State entered, Outputs are stable NOTE: Asynchronous signals take effect immediately Synchronous signals take effect at the next clocking event ex) tri-state enable: effective immediately sync. counter clear: effective at next clock event async. counter clear: effective immediately 7.2 Timing

133 7.2 Timing Example: Positive Edge Triggered Synchronous System Inputs sampled Clock Inputs State T ime On rising edge, inputs sampled outputs, next state computed After propagation delay, outputs and next state are stable IMPORTANT: Inputs to FSM should be settled down before clock edge. Outputs Outputs and next states are stable 말로는쉽지만굉장히햇갈리고잘까먹게된다. 유일한방법은많이디자인해보는것뿐! 7.2 Timing

134 7.2 Timing Example: Positive Edge Triggered Synchronous System X FSM FSM 2 Y CLK FSM A A B Y= A [] Y= X= C [] X= X FSM 2 C D D Y= X= X= Y Y=, B [] X= D [] - Initial inputs/outputs: X =, Y = 7.2 Timing

135 7.3 Vending Machine Example General Machine Concept - deliver package of gum after 5 cents deposited - single coin slot for dimes ( cents), nickels (5 cents) - no change Step : Understand the problem: draw a block diagram Coin Sensor N D Reset Vending Machine FSM Open Gum Release Mechanism 거스름돈떼어먹는못된자판기는각성하라!!! Clk 7.3 Vending Machine Example

136 7.3 Vending Machine Example Step 2: Map into more suitable abstract representation Reset S Tabulate typical input sequences: - three nickels - nickel, dime - dime, nickel - two dimes - two nickels, dime Draw state diagram: - Inputs: N, D, reset - Output: open N S7 [open] N D S S2 N D N D S3 S4 S5 S6 [open] [open] [open] D S8 [open] 7.3 Vending Machine Example

137 7.3 Vending Machine Example Step 3: State Minimization Reset D N N N, D? 5?? 5? [open] reuse states whenever possible D Present State 5 5 Inputs D N X X Next State 5 X 5 5 X 5 5 X 5 Symbolic State Table Output Open X X X 7.3 Vending Machine Example

138 7.3 Vending Machine Example Step 4: State Assignment Present State Q Q Inputs D N Next State D D X X X X X X X X Output Open X X X X 7.3 Vending Machine Example

139 7.3 Vending Machine Example Step 5: State Register Implementation K-map for D K-map for D K-map for OPEN Q Q Q Q Q Q Q Q Q D N D N D N N N X X X X X X X X X X X X N D D D Q Q Q D = Q + D + Q N D = N Q + Q N + Q N + Q D Q N N \ Q Q D D D Q CLK Q R \reset Q \Q OPEN OPEN = Q Q Q \ N Q N Q D D D Q CLK R Q \reset Q \Q 7.3 Vending Machine Example

140 7.4 Moore Macine and Mealy Machine Moore Machine Next-State Combinational Logic State Register Output Combinational Logic Outputs are function solely of the current state Outputs change synchronously with state changes 7.4 Moore Machine and Mealy Machine

141 7.4 Moore Macine and Mealy Machine Mealy Machine Next-State Combinational Logic State Register Output Combinational Logic Outputs depend on state AND inputs Input change causes an immediate output change Asynchronous outputs 7.4 Moore Machine and Mealy Machine

142 7.4 Moore Macine and Mealy Machine Moore Machine Reset N D + Reset Reset/ (N D + Reset)/ Mealy Machine Reset [] N Reset/ N/ N D 5 [] D N D/ 5 D/ N N/ D [] N+D N D D/ N+D/ N D/ 5 5 [] Reset Reset/ Outputs are associated with State Outputs are associated with Transitions 7.4 Moore Machine and Mealy Machine

143 7.4 Moore Macine and Mealy Machine - Mealy Machine typically has fewer states than Moore Machine for same output sequence - Timing of Mealy Machine is more complex than Moore Machine Moore Machine [] / / / Mealy Machine 자신없으면 Moore Machine 으로설계하는편이수월하다. [] 2 [] Same I/O behavior but Different # of states / Mealy Machine 은 state 의수가적기때문에전문 designer 들은복잡해도그냥사용한다. 7.4 Moore Machine and Mealy Machine

144 7.5 Complex Counter Example Problem Definition A sync. 3 bit counter has a mode control M. When M =, the counter counts up in the binary sequence. When M =, the counter advances through the Gray code sequence. Binary:,,,,,,, Gray:,,,,,,, Example of Valid I/O behavior Mode Input M Current State Next State (Z2 Z Z) 7.5 Complex Counter Example

145 7.5 Complex Counter Example State Diagram of Moore Machine S [] S [] S2 [] S3 [] S4 [] S5 [] S6 [] S7 [] 7.5 Complex Counter Example

146 7.6 Traffic Controller Example Problem Definition A busy highway is intersected by a little used farmroad. Detectors C sense the presence of cars waiting on the farmroad. With no car on farmroad, light remain green in highway direction. If vehicle on farmroad, highway lights go from Green to Yellow to Red, allowing the farmroad lights to become green. These stay green only as long as a farmroad car is detected but never longer than a set interval. When these are met, farm lights transition from Green to Yellow to Red, allowing highway to return to green. Even if farmroad vehicles are waiting, highway gets at least a set interval as green. Assume you have an interval timer that generates a short time pulse (TS) and a long time pulse (TL) in response to a set (ST) signal. TS is to be used for timing yellow lights and TL for green lights. 7.6 Traffic Controller Example

147 Illustration 7.6 Traffic Controller Example Farmroad FL C HL Highway Highway HL C FL Farmroad 7.6 Traffic Controller Example

148 7.6 Traffic Controller Example Tabulation of Inputs and Outputs: Input Signal reset C TS TL Output Signal HG, HY, HR FG, FY, FR ST Description place FSM in initial state detect vehicle on farmroad short time interval expired long time interval expired Description assert green/yellow/red highway lights assert green/yellow/red farmroad lights start timing a short or long interval Tabulation of Unique States State S S S2 S3 Description Highway green (farmroad red) Highway yellow (farmroad red) Farmroad green (highway red) Farmroad yellow (highway red) 7.6 Traffic Controller Example

149 7.6 Traffic Controller Example State Diagram of Mealy Machine TL C/ST TS S TS/ST TL + C Reset S TS/ST S3 TS TL + C/ST S2 TL C S: HG S: HY S2: FG S3: FY 7.6 Traffic Controller Example

150 7.7 State Machine Reduction Parity Checker Example S [] S [] S [] S [] S2 [] - Identical output behavior on all input strings - FSMs are equivalent, but require different implementations 7.7 State Machine Reduction

151 7.7 State Machine Reduction Implement FSM with fewest possible states - Least number of flip-flops - Boundaries are power of two number of states - Fewest states usually leads to more opportunities for don't cares - Reduce the number of gates needed for implementation 7.7 State Machine Reduction

152 7.7 State Machine Reduction Goal - Identify and combine states that have equivalent behavior Approach - Equivalent States: for all input combinations, states transition to the same or equivalent states - Parity Checker Example: S, S2 are equivalent states Both output a Both transition to S on a and self-loop on a - Start with state transition table - Identify states with same output behavior - If such states transition to the same next state, they are equivalent - Combine into a single new renamed state - Repeat until no new states are combined 7.7 State Machine Reduction

153 7.7 State Machine Reduction 4-bit Sequence Detector Example Single input X, output Z Taking inputs grouped four at a time, output if last four inputs were the string or I/O Behavior: X =... Z =... Upper bound on FSM complexity Fifteen states ( ) Thirty transitions ( ) sufficient to recognize any binary string of length four! 7.7 State Machine Reduction

154 7.7 State Machine Reduction State Diagram Reset / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / 7.7 State Machine Reduction

155 7.7 State Machine Reduction State Transition Table Input Sequence Reset Present State S S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S S S 2 S 3 S 4 Next State X = X = S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S S S 2 S 3 S 4 S S S S S S S S S S S S S S S S Output X = X = 7.7 State Machine Reduction

156 7.7 State Machine Reduction Input Sequence Reset Present State S S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S S S 2 S 3 S 4 Next State X = X = S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S S S 2 S 3 S 4 S S S S S S S S S S S S S S S S Output X = X = 7.7 State Machine Reduction

157 7.7 State Machine Reduction Input Sequence Reset or Present State S S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S ' S S 3 S 4 Next State X = X = S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S ' S S ' S 3 S 4 S S S S S S S S S S S S S S Output X = X = 7.7 State Machine Reduction

158 7.7 State Machine Reduction Input Sequence Reset or Present State S S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S ' S S 3 S 4 Next State X = X = S S 2 S 3 S 4 S 5 S 6 S 7 S 8 S 9 S ' S S ' S 3 S 4 S S S S S S S S S S S S S S Output X = X = 7.7 State Machine Reduction

159 7.7 State Machine Reduction Input Sequence Reset not ( or ) or Present State S S S 2 S 3 S 4 S 5 S 6 S 7 ' S ' Next State X = X = S S 2 S 3 S 4 S 5 S 7 ' S 7 ' S 7 ' S 7 ' S S S 6 S 7 ' S ' S ' S 7 ' S S Output X = X = 7.7 State Machine Reduction

160 7.7 State Machine Reduction Input Sequence Reset not ( or ) or Present State S S S 2 S 3 S 4 S 5 S 6 S 7 ' S ' Next State X = X = S S 2 S 3 S 4 S 5 S 7 ' S 7 ' S 7 ' S 7 ' S S S 6 S 7 ' S ' S ' S 7 ' S S Output X = X = 7.7 State Machine Reduction

161 7.7 State Machine Reduction Final Reduced State Transition Table Corresponding State Diagram Input Sequence Reset or or not ( or ) or / Present State S S S2 S3' S4' S7' S' S / / S / Next State X= X= S S2 S3' S4' S4' S3' S7' S7' S7' S' S S S S Reset / S2 / Output X= X= S3' S4',/ / /,/ S7' S' / / 7.7 State Machine Reduction

162 7.7 State Machine Reduction Row-Matching Method - Straightforward to understand and easy to implement - Problem: does not allows yield the most reduced state table! Example: 3 State Parity Checker Present State S S S 2 Next State X = X = S S S S 2 S 2 S Output No way to combine states S and S2 based on Next State Criterion! 7.7 State Machine Reduction

163 () BCD Code 와 Excess-3 Code 는 모두 4 비트코드이며, 다음 테이블과같습니다. 7.8 BCD to Excess-3 Code Converter 실험 BCD Excess-3 xxxx xxxx xxxx xxxx xxxx xxxx 7.8 BCD to Excess-3 Code Converter 실험 해당되는 BCD Code 가없으므로출력은 Don t Care

164 7.8 BCD to Excess-3 Code Converter 실험 (2) 이번실험에서설계할 BCD to Excess-3 Code는다음그림과같이 4비트의 BCD Code를 비트씩입력받아서 4비트의 Excess-3 Code를 비트씩출력하는기능과구조를가집니다. BCD Input (Serial, LSB First) Reset Clock In Rst Clk Out Excess-3 Output (Serial, LSB First) 7.8 BCD to Excess-3 Code Converter 실험

165 7.8 BCD to Excess-3 Code Converter 실험 (3) BCD to Excess-3 Code Converter 의 State Diagram 을 Mealy Machine 으로그리세요. 간략화하지말고 p.88 처럼 5 개의 State 가모두표시되어야합니다. (4) 그려진 State Diagram 에서 p.89 와같은 State Transition Table 을그리세요. (5) 그려진 State Transition Table 을가지고 p.9~p.95 와같이 State Reduction 을실시하세요. 7.8 BCD to Excess-3 Code Converter 실험

166 7.8 BCD to Excess-3 Code Converter 실험 (6) 최종 State Diagram 을 Verilog HDL 로표현하세요. Mealy Machine 의구조는다음과같으므로, 블록이 3 개가되어야합니다. Next-State Combinational Logic State Register Output Combinational Logic 7.8 BCD to Excess-3 Code Converter 실험

167 7.8 BCD to Excess-3 Code Converter 실험 (7) BCD Code 개를입력하여출력이제대로나오는지를 확인할수있도록하는 stimulus generator, response monitor, DUTB 를 Verilog HDL 로표현하세요. (8) SILOS 프로그램을사용하여시뮬레이션하고, 출력 F 를 시간에따라관찰하세요. 7.8 BCD to Excess-3 Code Converter 실험

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

Microsoft PowerPoint - verilog문법new.ppt

Microsoft PowerPoint - verilog문법new.ppt Verilog HDL Syntax HDL 이란? HDL(Hardware Description Language) VLSI 설계가복잡도증가및 time-to-market 감소 GLM 의 schematic 설계불가능 HDL 언어를이용한시스템및회로수준구현보편화 하드웨어기술언어논리회로의프로그래밍언어에의한표현네트리스트및프로그래밍언어적표현 다양한하드웨어설계방법지원 Structural

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Microsoft PowerPoint - CHAP-03 [호환 모드]

Microsoft PowerPoint - CHAP-03 [호환 모드] 컴퓨터구성 Lecture Series #4 Chapter 3: Data Representation Spring, 2013 컴퓨터구성 : Spring, 2013: No. 4-1 Data Types Introduction This chapter presents data types used in computers for representing diverse numbers

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a [2010 년디지털시스템설계및실험중간고사 1 답안지 ] 출제 : 채수익 Verilog 문법채점기준 ( 따로문제의채점기준에명시되어있지않아도적용되어있음 ) (a) output이 always 문에서사용된경우, reg로선언하지않은경우 (-1 pts) (b) reg, wire를혼동하여사용한경우 (-1 pts) (c) always @( ) 에서모든 input을 sensitivity

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

Microsoft PowerPoint - CHAP-01 [호환 모드]

Microsoft PowerPoint - CHAP-01 [호환 모드] 컴퓨터구성 Lecture #2 Chapter : Digital Logic Circuits Spring, 203 컴퓨터구성 : Spring, 203: No. - Digital Computer Definition Digital vs. nalog Digital computer is a digital system that performs various computational

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Microsoft PowerPoint - PL_03-04.pptx

Microsoft PowerPoint - PL_03-04.pptx Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 프로그래밍 언어 소개 2 언어의 변천 3 프로그래밍 언어 설계 4 프로그래밍 언어의 구문과 구현 기법 5 6 7 컴파일러 개요 변수, 바인딩, 식 및 제어문 자료형 8

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

10주차.key

10주차.key 10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

1

1 1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

untitled

untitled 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

step 1-1

step 1-1 Written by Dr. In Ku Kim-Marshall STEP BY STEP Korean 1 through 15 Action Verbs Table of Contents Unit 1 The Korean Alphabet, hangeul Unit 2 Korean Sentences with 15 Action Verbs Introduction Review Exercises

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드] IC-CAD CAD 실험 Lecture 3 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

9

9 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

- iii - - i - - ii - - iii - 국문요약 종합병원남자간호사가지각하는조직공정성 사회정체성과 조직시민행동과의관계 - iv - - v - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - α α α α - 15 - α α α α α α

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

#Ȳ¿ë¼®

#Ȳ¿ë¼® http://www.kbc.go.kr/ A B yk u δ = 2u k 1 = yk u = 0. 659 2nu k = 1 k k 1 n yk k Abstract Web Repertoire and Concentration Rate : Analysing Web Traffic Data Yong - Suk Hwang (Research

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

public key private key Encryption Algorithm Decryption Algorithm 1

public key private key Encryption Algorithm Decryption Algorithm 1 public key private key Encryption Algorithm Decryption Algorithm 1 One-Way Function ( ) A function which is easy to compute in one direction, but difficult to invert - given x, y = f(x) is easy - given

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

슬라이드 1

슬라이드 1 보안회로설계 모델심설치 & Verilog testbench 기초문법 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 모델심설치 ModelSim ModelSim Made by Mentor HDL simulator VHDL, Verilog, System Verilog and optional SystemC HDL 에의해합성될회로의동작과정과결과예상

More information

PJTROHMPCJPS.hwp

PJTROHMPCJPS.hwp 제 출 문 농림수산식품부장관 귀하 본 보고서를 트위스트 휠 방식 폐비닐 수거기 개발 과제의 최종보고서로 제출 합니다. 2008년 4월 24일 주관연구기관명: 경 북 대 학 교 총괄연구책임자: 김 태 욱 연 구 원: 조 창 래 연 구 원: 배 석 경 연 구 원: 김 승 현 연 구 원: 신 동 호 연 구 원: 유 기 형 위탁연구기관명: 삼 생 공 업 위탁연구책임자:

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 EBC (Equipment Behaviour Catalogue) - ISO TC 184/SC 5/SG 4 신규표준이슈 - 한국전자통신연구원김성혜 목차 Prologue: ISO TC 184/SC 5 그룹 SG: Study Group ( 표준이슈발굴 ) WG: Working Group ( 표준개발 ) 3 EBC 배경 제안자 JISC (Japanese Industrial

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A 예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = 1 2 3 4 5 6 7 8 9 B = 8 7 6 5 4 3 2 1 0 >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = 0 0 0 0 1 1 1 1 1 >> tf = (A==B) % A 의원소와 B 의원소가똑같은경우를찾을때 tf = 0 0 0 0 0 0 0 0 0 >> tf

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

UML

UML Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌

More information

삼성기초VHDL실습.PDF

삼성기초VHDL실습.PDF VHDL Simulation Synthesis - Synopsys Tool - System ASIC Design Lab : jcho@asiclabinchonackr -I - : -Bit Full Adder Simulation Synopsys Simulation Simulation Tool -2 : -Bit Full Adder Synthesis Synopsys

More information

歯처리.PDF

歯처리.PDF E06 (Exception) 1 (Report) : { $I- } { I/O } Assign(InFile, InputName); Reset(InFile); { $I+ } { I/O } if IOResult 0 then { }; (Exception) 2 2 (Settling State) Post OnValidate BeforePost Post Settling

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

<4D F736F F F696E74202D C6F672D48444CC0BB20C0CCBFEBC7D120B5F0C1F6C5D0BDC3BDBAC5DBBCB3B0E82E707074>

<4D F736F F F696E74202D C6F672D48444CC0BB20C0CCBFEBC7D120B5F0C1F6C5D0BDC3BDBAC5DBBCB3B0E82E707074> Verilog-HDL 에의한 참고문헌 Verilog HDL : A Guide to Digital Design and Synthesis Author : Samir Palnikar Publisher : PTR-PH HDL Chip Design Author : Douglas J. Smith Publisher : Doone Publications Verilog Center

More information

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf(hihi\n); } warning: conflicting types for functiona 이름 : 학번 : A. True or False: 각각항목마다 True 인지 False 인지적으세요. 1. (Python:) randint 함수를사용하려면, random 모듈을 import 해야한다. 2. (Python:) '' (single quote) 는한글자를표현할때, (double quote) 는문자열을표현할때사용한다. B. 다음에러를수정하는방법을적으세요.

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

C++-¿Ïº®Çؼ³10Àå

C++-¿Ïº®Çؼ³10Àå C C++. (preprocessor directives), C C++ C/C++... C++, C. C++ C. C C++. C,, C++, C++., C++.,.. #define #elif #else #error #if #itdef #ifndef #include #line #pragma #undef #.,.,. #include #include

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh Page 1 of 6 Learn Korean Ep. 13: Whether (or not) and If Let s go over how to say Whether and If. An example in English would be I don t know whether he ll be there, or I don t know if he ll be there.

More information

6자료집최종(6.8))

6자료집최종(6.8)) Chapter 1 05 Chapter 2 51 Chapter 3 99 Chapter 4 151 Chapter 1 Chapter 6 7 Chapter 8 9 Chapter 10 11 Chapter 12 13 Chapter 14 15 Chapter 16 17 Chapter 18 Chapter 19 Chapter 20 21 Chapter 22 23 Chapter

More information

Page 2 of 5 아니다 means to not be, and is therefore the opposite of 이다. While English simply turns words like to be or to exist negative by adding not,

Page 2 of 5 아니다 means to not be, and is therefore the opposite of 이다. While English simply turns words like to be or to exist negative by adding not, Page 1 of 5 Learn Korean Ep. 4: To be and To exist Of course to be and to exist are different verbs, but they re often confused by beginning students when learning Korean. In English we sometimes use the

More information

Microsoft PowerPoint - DSD01_verilog1a.pptx

Microsoft PowerPoint - DSD01_verilog1a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. Verilog HDL 개요 2. Verilog 첫걸음 3. Verilog 어휘규칙 4. 모듈 5. 데이터형 6. 연산자 7. 인스턴스 8. 시스템태스크와함수 9. 컴파일러지시어 한국기술교육대학교전기전자통신공학부 3 Verilog HDL 1983 년 Gateway Design Automation

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

- i - - ii - - iii - - iv - - v - - vi - - 1 - - 2 - - 3 - 1) 통계청고시제 2010-150 호 (2010.7.6 개정, 2011.1.1 시행 ) - 4 - 요양급여의적용기준및방법에관한세부사항에따른골밀도검사기준 (2007 년 11 월 1 일시행 ) - 5 - - 6 - - 7 - - 8 - - 9 - - 10 -

More information

H3050(aap)

H3050(aap) USB Windows 7/ Vista 2 Windows XP English 1 2 3 4 Installation A. Headset B. Transmitter C. USB charging cable D. 3.5mm to USB audio cable - Before using the headset needs to be fully charged. -Connect

More information

- i - - ii - - iii - - iv - - v - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - α α - 20 - α α α α α α - 21 - - 22 - - 23 -

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA FPS게임 구성요소의 중요도 분석방법에 관한 연구 2 계층화 의사결정법에 의한 요소별 상관관계측정과 대안의 선정 The Study on the Priority of First Person Shooter game Elements using Analytic Hierarchy Process 주 저 자 : 배혜진 에이디 테크놀로지 대표 Bae, Hyejin AD Technology

More information

Microsoft PowerPoint - Verilog_Summary.ppt

Microsoft PowerPoint - Verilog_Summary.ppt Verilog HDL Summury by 강석태 2006 년 3 월 1 Module module < 모듈이름 >(< 포트리스트 >) < 모듈내용 > endmodule C 언어의함수 (Function) 와같은개념. 대소문자구분. 예약어는소문자로만쓴다. 이름은영문자, 숫자, 언더바 (_) 만허용한다. 문장의끝은항상세미콜론 (;) 으로끝난다. end~ 로시작하는예약어에는

More information

Coriolis.hwp

Coriolis.hwp MCM Series 주요특징 MaxiFlo TM (맥시플로) 코리올리스 (Coriolis) 질량유량계 MCM 시리즈는 최고의 정밀도를 자랑하며 슬러리를 포함한 액체, 혼합 액체등의 질량 유량, 밀도, 온도, 보정된 부피 유량을 측정할 수 있는 질량 유량계 이다. 단일 액체 또는 2가지 혼합액체를 측정할 수 있으며, 강한 노이즈 에도 견디는 면역성, 높은 정밀도,

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

http://www.kbc.go.kr/pds/2.html Abstract Exploring the Relationship Between the Traditional Media Use and the Internet Use Mee-Eun Kang This study examines the relationship between

More information