<4D F736F F D20C8A8C6E4C0CCC1F65F5B F55544C4F4F4B5D20B9DDB5B5C3BC2028BAF1C1DFC8AEB4EB295FC6ED2E646F6378>

Size: px
Start display at page:

Download "<4D6963726F736F667420576F7264202D20C8A8C6E4C0CCC1F65F5B32303136204F55544C4F4F4B5D20B9DDB5B5C3BC2028BAF1C1DFC8AEB4EB295FC6ED2E646F6378>"

Transcription

1 216 OUTLOOK 반도체 (비중확대) 황준호

2 216 Outlook Report [반도체] C O N T E N T S I. 215년 Review 4 Oversupply보다는 Underdemand II. 216년 전망 6 DRAM: 공급과잉 지속될 전망 6 NAND: 가격 하락을 통한 시장 확대 9 비메모리: AP(Application Processor) 진검 승부 14 III. 중장기 전망 및 이슈분석 16 중국의 메모리 시장 진출 16 차세대 반도체 기술의 부상 2 IV. Valuation 및 투자전략 29 투자 전략 및 Top Picks 29 Global Peer Analysis 3 V. 종목분석 33 삼성전자 (593) 34 SK하이닉스 (66) 36 실리콘웍스 (1832) 38 원익IPS (353) 4 에스에프에이 (5619) 42 케이씨텍 (2946) 44 유진테크 (8437) 46 한미반도체 (427) 48 2 KDB Daewoo Securities Research

3 반도체/장비 Summary Soft Landing 이번 하락 사이클 이후에는 부품의 시대가 열린다 216년 DRAM 시장은 올해 대비 3% 감소한 458억달러(52조원)를 예상한다. 4년만의 역성장이다. 반면 NAND 시장은 올해 대비 7% 성장한 319억달러(38조원)를 예상한다. 메모리 시장은 본격적인 하락 국면에 진입했다. 과거 하락 사이클이 평균적으로 8분기 정도 지속되었다고 가정하면 이제 절반 정도 지난 셈이다. IT 수요 부진으로 상승 동력 회복을 기대하기는 어렵지만 제한적인 공급 증가로 과거의 하락 사이클처럼 적자 국면까지 가지 않고 안정적인 수익성을 유지한 연착륙이 예상된다. 사물인터넷(IoT)은 1) 기존 제품의 스마트화, 2) 신규 기기(Wearable 등)의 출연을 의미한다. 부품소 자 관점에서 보면 수동 기기들의 능동화로 해석된다. 가장 기본적인 능동형 소자가 트랜지스터, 즉 반도체이다. MCU에서 AP까지 비메모리는 물론이고 다양한 메모리 소자들이 작게는 전구에서부터 자동차까지 탑재되는 시대가 오고 있다. 사물인터넷 시대의 개화는 곧 부품의 시대를 의미한다. 반도체 산업의 새로운 변수: 1) 중국의 메모리 산업 진출, 2) 차세대 메모리 기술 부상 중국 업체들의 메모리 시장 진출이 가시화되고 있다. 반도체 자급률을 높이기 위한 중국 정부의 의지 는 확고하다. 중국 스마트폰 업체들의 시장 점유율이 가파르게 상승하고 있음에도 불구하고 스마트폰 업체 중에서 돈을 버는 업체는 Apple과 삼성전자뿐이다. 대부분의 이익은 세트 업체가 아닌 부품 업 체들이 향유하고 있다. AP 시장에서 Qualcomm, 메모리 시장에서 삼성전자, SK하이닉스 등의 반도 체 업체들이 많은 수익을 챙겨가고 있다. 최근 차세대 메모리에 대한 중요성이 부각되는 이유도 공정 미세화가 날로 어려워지고 있고 중국의 메모리 시장 진입이 새로운 변수로 작용하고 있기 때문이다. 기존 업체들이 기술 주도권을 유지하면서 후발 업체들의 추격을 따돌리기 위해서는 선도 기술 투자 를 확대해야 한다. 내년 DRAM 투자는 축소되겠지만 NAND 및 차세대 메모리 투자는 확대될 전망 이다. 내년 상반기에 반도체 장비 업체에 관심이 필요한 이유이다. 반도체 산업 비중확대, Top Picks는 삼성전자, 실리콘웍스, 원익IPS, 에스에프에이 내년 반도체/장비 산업의 Top Picks으로는 공정 기술을 선도하고 있고 지속 가능한 주주환원 정책을 제시한 삼성전자를 최선호주로 제시한다. 중소형주 중에서는 Driver-IC와 자동차용 IC의 성장이 예 상되는 실리콘웍스, 반도체 장비 업체 원익IPS, OLED 장비 업체 에스에프에이를 추천한다. 메모리 산업의 SWOT 분석 Strength Weakness Strength Weakness 1) 대체재가 없는 부품 2) 성능, 전력소모를 결정하는 핵심 부품 3) 제한적인 공급 업체 1) 공정 전환이 한계에 근접. TLC, 3D로 데이터 집적도 올리기 어려움 2) 수요가 AP/CPU, 디스플레이 등 핵심 부품에 의존적 3) 수요의 한계가 존재 (무조건 많다고 좋은게 아님) 1) TLC, 3D를 이용한 데이터 집적도 증가 가능 2) 대체재 성격으로 가격 하락은 수요 증가를 촉발 3) 수요가 AP/CPU, 디스플레이 등 핵심 부품에 의존적이지 않음 1) 대체재가 있는 부품 2) 컨트롤러, ECC(Error Correction Code) 기술 필요 1) 서버, 모바일 DRAM의 탑재 용량 확대 2) DDR4 신규 인테페이스 확산 Opportunity 1) 가격 하락은 마진 하락으로 직결 2) PC 수요 부진에 따른 출하량 감소 Threat 1) SSD 시장의 폭발적인 잠재력 2) 해상도 증가에 따른 컨텐츠 용량 증가 Opportunity 1) 높은 가격 변동성 2) USB, 메모리카드의 진부화 3) 클라우드 컴퓨팅에 따른 저장 장치 용량 감소 Threat KDB Daewoo Securities Research 3

4 216 Outlook Report [반도체] I. 215년 Review Oversupply보다는 Underdemand 말장난 같지만 올해 메모리 반도체 시장을 가장 적절하게 설명하는 표현이다. 공급이 수요보다 많다 는 측면에서 Oversupply(공급과잉)나 Underdemand(수요부족)는 같은 의미이지만 이런 결과가 발 생한 원인이 공급보다는 수요 요인이 컸다는 미세한 어감 차이가 있다. 공급이 당초 예상보다 늘어나 지는 않았다. 오히려 올해 메모리 업체들의 공정전환 어려움으로 공급 증가는 예상치를 하회할 것으 로 보인다. 그럼에도 불구하고 수요 부진이 더 깊어지면서 메모리 가격 하락 압력은 더 가중되었다. DRAM 가격의 경우 4GB DDR3가 연초 29.5달러에서 1월말 현재 16.8달러로 43% 하락했다. PC 수요 부진과 재고 소진으로 PC DRAM 가격 하락세가 지속되고 있다. 올해 MS Windows 1 및 Intel Skylake 출시에도 불구하고 PC 수요 회복은 감지되고 있지 않다. 상반기에 안정적인 가격 흐름 을 보이던 서버와 모바일 DRAM도 하반기에 캐파 전환이 가속화되면서 가격 약세가 전이되고 있다. NAND 가격의 경우 8GB MLC 가격이 연초 2.75달러에서 1월말 현재 2.19달로 2% 하락했다. PC 수요 부진에도 불구하고 모바일 컨텐츠 증가와 SSD 탑재 확대로 안정적인 가격 흐름을 유지했 다. 3D NAND, TLC 제품 확대에 따른 공급 증가를 시장에서 안정적으로 소화하고 있다. 당초 예상보다 메모리 수요가 부진했던 이유는 PC와 스마트폰의 저조한 판매 때문이다. 올해 글로벌 PC 판매량은 2.8억대로 전년대비 9% 감소할 전망이다. 지난해 2% 감소에 비해 감소폭이 더 확대되 었다. 스마트폰의 성장성도 둔화되고 있다. 올해 글로벌 스마트폰 판매량은 14.3억대로 전년대비 13% 증가할 전망이다. 스마트폰 시장의 성장을 견인했던 중국 시장이 올해 상반기에 역성장을 기록 하면서 성장 동력이 소진되고 있다. 내년부터는 한 자릿수 성장률에 진입할 전망이다. 글로벌 IT 수요 부진이 고착화되고 새로운 제품 사이클을 만들어낼 기술 혁신의 부재로 반도체 시장 은 완연한 하락 사이클에 진입한 것으로 판단된다. 하락 사이클에서는 업체간 차별화도 극명하게 나 타난다. 올해 큰 폭의 메모리 가격 하락에도 삼성전자는 순조로운 공정전환으로 원가절감을 달성해 수익성을 방어할 수 있었다. 공정전환에 어려움을 겪은 SK하이닉스와 Micron은 가격 하락이 수익성 악화로 연결되었다. 최근 SK하이닉스와 Micron의 영업이익은 고점대비 각각 3%, 7% 감소했으며 각 사의 주가도 이익창출 능력과 동행하고 있다. 메모리 산업의 주기성은 과거보다 확실히 완만해졌다. 이번 하락 사이클도 적자 국면까지 가는 경착 륙(Hard Landing)보다는 자연스럽게 수급 균형을 찾아가는 연착륙(Soft Landing)이 예상된다. 그림 1. PC DRAM(DDR3 4Gb, 1.6GHz) 현물, 고정 가격 추이 (달러) Spread(R) Spot Price(L) (%) 5 Contract Price(L) 3 그림 2. NAND (64Gb MLC) 현물, 고정 가격 추이 (USD) Spread(R) Spot Price(L) (%) 4. Contract Price(L) 연초대비 43% 연초대비 2% KDB Daewoo Securities Research

5 216 Outlook Report [반도체] 그림 3. 글로벌 PC 판매 추이 및 전망 (백만대) (%) 12 PC 판매량 (L) 1 YoY 성장률 (R) 1 5 그림 4. 글로벌 스마트폰 판매 추이 및 전망 (백만대) (%) 5 스마트폰 판매량 (L) 9 YoY 성장률 (R) Q11 1Q12 1Q13 1Q14 1Q Q11 1Q12 1Q13 1Q14 1Q15 자료: IDC, KDB대우증권 리서치센터 자료: IDC, KDB대우증권 리서치센터 그림 5. 글로벌 메모리 반도체 업체들의 영업이익 추이 그림 6. 삼성전자 반도체 분기 영업이익 및 주가 (십억달러) 연착륙 예상 (백만원) 영업이익 (R) 주가 (L) (조원) F 그림 7. SK하이닉스 분기 영업이익 및 주가 그림 8. Micron 분기 영업이익 및 주가 (천원) 영업이익 (R) 주가 (L) (조원) (달러) 영업이익 (R) 주가 (L) (십억달러) KDB Daewoo Securities Research 5

6 216 Outlook Report [반도체] II. 216년 전망 DRAM: 공급과잉 지속될 전망 올해 예상보다 부진했던 PC 수요와 스마트폰 성장률 둔화에도 불구하고 DRAM 시장은 지난해 대비 1% 성장한 474억달러(54조원)로 추산된다. 모바일 DRAM, DDR4 등의 고가제품 비중 증가에 따른 믹스 개선 효과가 긍정적으로 작용했다. 지난해 DRAM 시장이 35% 성장한 것에 비하면 완연한 하 락 사이클에 진입하였음을 반증하고 있다. 내년 DRAM 시장은 올해 대비 3% 감소한 458억달러 (52조원)를 예상한다. 4년만의 역성장이다. 공급 증가율이 25%로 수요 증가율 23%를 상회할 전망이다. DRAM 시장이 본격적인 하락 국면에 진입한 것은 부정할 수 없는 사실이다. 과거 하락 사이클이 평 균적으로 8분기 정도 지속되었다고 가정하면 이제 절반 정도 지난 셈이다. 이번 하락 사이클이 과거 와 다른 점은 과점화된 경쟁 구도와 미세공정 전환의 어려움으로 공급 증가가 제한적이라는 것이다. 글로벌 IT 수요 부진으로 상승 동력 회복을 기대하기는 어렵지만 제한적인 공급 증가로 과거의 하락 사이클처럼 적자 국면까지 가지 않고 안정적인 수익성을 유지한 연착륙의 가능성이 높다고 판단된다. 213년 이후 경쟁구도가 3사로 압축되면서 DRAM 업체들은 과도한 점유율 경쟁보다는 공급 조절을 통한 이익극대화 전략을 이어갈 전망이다. 당분간 이러한 경쟁구도에 변화가 있을 가능성은 낮다. 그림 9. 글로벌 DRAM 수급 추이 및 전망 (1Gb eq, 십억개) (%) 25 공급(L) 공급과잉 15 수요(L) 지속될 전망 2 공급과잉률(R) Q9 1Q1 1Q11 1Q12 1Q13 1Q14 1Q15 1Q16F -1 그림 1. 월간 DRAM 매출액 및 성장률 추이 (십억달러) (%) 6 월간 매출액(L) 2 YoY 성장률(R) 사이클 진입 완연한 하락 그림 11. 분기별 DRAM 영업이익 추이 및 전망 (십억달러) 과거와는 다른 (%) 6 DRAM 영업이익(L) 하락 사이클 영업이익률(R) F 자료: Gartner, KDB대우증권 리서치센터 KDB Daewoo Securities Research

7 216 Outlook Report [반도체] 신규 투자보다는 공정 전환에 주력 내년 글로벌 DRAM 수요 증가율은 23%로 예상한다. 향후 DRAM 수요는 모바일과 서버가 성장을 견인할 전망이다. 모바일과 서버 DRAM 비중은 각각 올해 37%, 22%에서 내년 41%, 24%까지 늘어 나는 반면 PC DRAM 비중은 올해 26%에서 내년 21%로 감소할 전망이다. 64-bit AP 확대, Big Data, Cloud, 가상화(Virtualization) 등의 용량 증가 요인이 여전히 많이 남아있다고 판단된다. 스마트폰의 성장률은 내년부터 한자릿수에 진입하겠지만 DRAM 평균 용량은 올해 1.57GB에서 내년 1.89GB로 2% 증가할 전망이다. Apple iphone의 DRAM 용량이 올해 1GB에서 2GB로 증가했고 현재 대다수의 하이엔드 안드로이드 스마트폰이 2GB 이상을 채택하고 있다. 삼성 Galaxy S6 Edge+ 및 Note 5, LG V1, Huawei Mate 8, Xiaomi Mi 5 등은 4GB까지 채택하고 있다. 글로벌 IT 수요 부진에 따른 메모리 가격 하락과 재고 증가로 메모리 업체들은 신규 증설보다는 공 정 전환에 주력할 것으로 예상한다. DRAM Capex는 올해 117억달러에서 내년 1억달러로 15% 감소할 전망이다. 내년 12 웨이퍼 기준 캐파는 15만장/월으로 올해 대비 소폭 감소할 예정이다. 삼성전자는 올해 연말까지 2nm 공정 비중이 6%까지 늘어날 전망이다. 내년 17라인 2만장/월의 증설이 예상되며 18nm 공정은 하반기부터 일부 양산에 들어가겠지만 생산 물량은 미미할 것으로 추 산된다. SK하이닉스는 올해 증설한 M14이 내년부터 본격적으로 가동될 전망이며 M1의 공정전환 투자가 예상된다. 올해 공정전환의 어려움으로 출하량 증가가 거의 없었던 Micron은 내년 2nm 공 정 전환을 통해 올해의 부진을 만회하겠다는 계획이다. Micron은 신규 캐파 증설 계획은 없다. 그림 12. DRAM 어플리케이션 수요 추이 및 전망 (bn Gb) PC (L) Server (L) (%) Mobile (L) Graphic (L) 25 5 Consumer (L) YoY 성장률 (R) 그림 13. DRAM Capex 추이 및 전망 (십억달러) 삼성전자(L) SK하이닉스(L) (%) 25 Micron(L) 기타(L) 2 YoY 성장률(R) Q12 1Q13 1Q14 1Q15 1Q16F F -1 자료: DRAMeXchange, KDB대우증권 리서치센터 그림 14. DRAM 업체별 Bit Growth 전망 그림 15. DRAM 공정 비중 변화 (bn Gb) 출하량 (L) (%) 4 YoY 성장률 (R) 6 (%) 1 4Xnm 3Xnm 2Xnm 1Xnm F F F F 삼성전자 SK하이닉스 Micron 기타 Q13 1Q14 1Q15 1Q16F 자료: DRAMeXchange, KDB대우증권 리서치센터 KDB Daewoo Securities Research 7

8 216 Outlook Report [반도체] 표 1. DRAM 수급 전망 (백만 Gb, %) 1Q15 2Q15 3Q15 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F F 216F 217F 공급 13,96 13,486 15,247 16,485 16,878 17,118 18,678 2,131 47,593 58,315 72,85 88,296 QoQ/YoY 성장률 삼성전자 5,917 6,191 7,312 8,42 8,11 8,283 8,748 9,28 2,264 27,461 34,349 41,47 SK하이닉스 3,854 4,16 4,577 4,787 4,942 4,83 5,576 6,446 13,91 17,234 21,793 27,717 Micron 2,772 2,711 2,774 3,54 3,27 3,368 3,74 3,815 11,299 11,31 14,94 16,29 기타 ,121 2,38 2,569 2,964 수요 12,785 13,338 14,778 16,517 15,795 16,77 18,318 19,637 46,92 57,417 7,518 85,62 QoQ/YoY 성장률 Server 2,67 2,262 2,55 2,728 2,839 3,14 3,39 3,64 7,98 9,562 12,856 17,342 PC(데스크탑/노트북) 4,225 4,14 4,235 4,454 4,172 4,193 4,445 4,584 17,526 16,928 17,393 18,586 모바일(스마트폰/테블릿) 4,399 4,788 5,595 6,717 6,162 6,584 7,412 7,949 14,877 21,51 28,18 34,671 가전(TV/게임콘솔) 1,42 1,539 1,69 1,767 1,814 2,7 2,249 2,479 4,692 6,416 8,549 1,686 기타(자동차/산업용) ,2 2,726 3,1 3,612 4,335 공급과잉률 2.4% 1.1% 3.2% -.2% 6.9% 2.1% 2.% 2.5% 1.4% 1.6% 3.2% 3.1% 표 2. DRAM 업체별 웨이퍼 투입 캐파 (12" 기준, 천장/월) 1Q15 2Q15 3Q15 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F F 216F 217F 삼성전자 ,38 4,53 4,455 4,67 Fab Fab ,47 1,44 1,32 1,32 Fab ,4 2,4 2,4 2,4 Fab Fab SK하이닉스 ,3 2,91 2,88 3,185 M ,47 1, C2(Wuxi) ,545 1,44 1,44 1,44 M Micron ,335 4,14 4,44 4,44 Manassas, US Taoyuan, 대만(Inotera) ,386 1,32 1,32 1,32 Hiroshima, 일본(Elpida) ,46 2,4 2,34 2,34 기타 ,242 1,2 1,2 1,212 합계 1,47 1,57 1,59 1,82 1,62 1,37 1,37 1,57 12,987 12,735 12,579 13,111 표 3. 세트 출하량 및 대당 DRAM 사용량 (백만대, GB/대) 1Q15 2Q15 3Q15 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F F 216F 217F 세트 출하량 Server PC 데스크탑 노트북 모바일 ,144 2,22 2,323 2,361 피쳐폰 스마트폰 ,265 1,43 1,67 1,71 테블릿 가전 DRAM 사용량 Server PC 데스크탑 노트북 모바일 피쳐폰 스마트폰 테블릿 가전 KDB Daewoo Securities Research

9 216 Outlook Report [반도체] NAND: 가격 하락을 통한 시장 확대 올해 NAND 시장은 어려운 영업환경에도 불구하고 상대적으로 양호한 가격 흐름과 안정적인 성장세 를 이어나갔다. 시장 규모는 지난해 대비 6% 성장한 297억달러(34조원)로 추산된다. SSD 시장의 본 격적인 개화, 중저가 스마트폰들의 상향 평준화로 전반적인 용량 증가가 수요를 견인했다. 공정 미세화가 어려워지면서 3D NAND, TLC를 활용한 원가절감 기술력이 메모리 업체들의 핵심 경 쟁력으로 부상하고 있다. 전체 NAND 출하량에서 3D NAND 비중은 올해 6%에서 내년 2%로 급증 할 전망이다. 3D NAND는 주로 서버 및 클라이언트 SSD 시장에 공급되며 NAND의 킬러 어플리케 이션이 될 전망이다. 내년 NAND 시장은 올해 대비 7% 성장한 319억달러(38조원)를 예상한다. NAND의 경우 제품별 수급은 다소 상이할 수 있다. 메모리카드, USB메모리 등의 저가형 NAND는 수요 감소로 공급 과잉이 지속되겠지만 상대적으로 높은 품질과 신뢰성을 요구하는 모바일용 임베디 드 NAND와 SSD 수요는 지속적으로 확대되고 있어 타이트한 수급이 예상된다. 국내 메모리 업체들 의 경우 모바일과 SSD 비중이 높아 경쟁사 대비 유리한 제품 믹스를 보유하고 있다. NAND와 DRAM 시장의 가장 큰 차이점은 시장의 성장성과 가격에 대한 탄력성이다. DRAM 시장의 성장성은 제한적이다. DRAM의 역할이 연산 장치(CPU, AP 등)의 성능을 보조하기 위한 부품이기 때 문에 가격이 하락한다고 수요가 촉발되지 않는다. DRAM의 수요가 증가하기 위해서는 연산 장치, 디 스플레이, 통신 규격 등의 업그레이드가 필요하다. 반면 NAND는 하드디스크(HDD)를 대체하는 SSD 의 가격이 하락할수록 교체 수요가 늘어나기 때문에 가격에 탄력적이고 시장의 성장 잠재력이 높다. 그림 16. 글로벌 NAND 수급 추이 및 전망 (8Gb eq, 십억개) (%) 4 공급(L) 원가 하락에 따른 SSD 수요(L) 수요 확대로 수급 개선 공급과잉률(R) Q9 1Q1 1Q11 1Q12 1Q13 1Q14 1Q15 1Q16F 그림 17. 월간 NAND 매출액 및 성장률 추이 그림 18. 글로벌 NAND 업체 영업이익률 추이 (십억달러) (%) 스마트폰 컨텐츠 증가, 3. 월간 매출액(L) 3 SSD 확대가 시장 견인 YoY 성장률(R) (%) 삼성전자 Micron SK하이닉스 Toshiba/Sandisk Q9 1Q1 1Q11 1Q12 1Q13 1Q14 1Q15 자료: Gartner, KDB대우증권 리서치센터 KDB Daewoo Securities Research 9

10 216 Outlook Report [반도체] 216년 메모리 시장의 화두는 단연 3D NAND 앞서 설명했듯이 DRAM은 가격 하락이 곧 수익성 악화를 의미하지만 NAND는 가격 하락이 수요를 촉발하고 시장을 만들어내기 때문에 가격 하락을 꼭 나쁘게만 해석할 필요는 없다. 그래서 DRAM은 가격을 지켜야 하는 산업 이고 NAND는 가격을 내려야 하는 산업 이라고 표현한다. NAND는 대체 재 성격이 있기 때문에 원가를 내려 교체 수요를 발생시켜 시장 파이를 키우는 것이 중요하다. 특히 높은 성장 잠재력을 보유한 SSD는 여전히 HDD 대비 4~5배 비싸기 때문에 침투율이 아직 낮은 편 이다. 지난해 13%에 그쳤던 SSD 침투율은 3D NAND, TLC 제품의 확대로 올해 2%까지 증가했으 며 향후 이 추세는 지속될 전망이다. SSD 시장은 현재 삼성전자, Intel, SanDisk(+Western Digital) 3강 구도가 굳어지고 있다. SK하이닉스는 SSD 시장에서의 경쟁력 확보가 시급한 상황이다. 공정 미세화를 통한 원가절감은 점점 어려워지고 있어 향후 NAND의 원가경쟁력 확보를 위해서는 3D NAND 기술이 핵심이 될 전망이다. 현재 삼성전자가 유일하게 3D NAND를 양산하고 있다. 32단 까지는 제조원가가 2D 대비 높아 3D NAND가 수익성에 악영향을 미쳤지만 48단부터는 Cost Parity 가 발생하기 때문에 수익성 개선에 도움이 되는 국면에 진입하게 된다. 내년 NAND Capex는 올해 대비 33% 증가한 12억달러를 예상하며 48단 3D NAND 투자에 집중될 전망이다. 삼성전자는 최근 48단 TLC 양산에 성공했다. 올해 시안 2차 증설 투자가 마무리되었으며 내년부터 본격적으로 가동될 전망이다. 내년 하반기부터는 평택 투자가 일부 시작될 것으로 예상한다. Toshiba 는 Fab 2에 15K/월 수준의 신규 설비를 반입하고 있으며 Intel은 중국 다롄 공장을 메모리 라인으로 전환하기 위해 55억달러 투자를 발표했다. 모두 3D NAND 양산을 위한 투자로 파악된다. 그림 19. 글로벌 HDD, SSD 판매량 추이 및 전망 그림 2. 글로벌 SSD 점유율 (215F 기준) (백만대) (%) 7 HDD (L) 5 SSD (L) 6 SSD 비중 (R) ~219 CAGR SSD +29%, HDD -5% F 16F 17F 18F 19F 2 1 Western Digital 5% Toshiba 6% Micron 7% SK하이닉스 2% SanDisk 17% 기타 11% Intel 17% 삼성전자 35% 자료: Gartner, KDB대우증권 리서치센터 자료: Gartner, KDB대우증권 리서치센터 그림 21. NAND 업체별 Bit Growth 전망 그림 22. NAND Capex 추이 및 전망 (bn GB) (%) 6 출하량 (L) 8 YoY 성장률 (R) F F F F 삼성전자 SK하이닉스 Toshiba/SanDisk Micron/Intel (십억달러) 삼성전자(L) SK하이닉스(L) (%) 12 Toshiba/Sandisk(L) Micron/Intel(L) YoY 성장률(R) F 자료: DRAMeXchange, KDB대우증권 리서치센터 1 KDB Daewoo Securities Research

11 216 Outlook Report [반도체] 삼성전자의 독보적인 3D NAND 기술력 3D NAND는 공정 미세화 없이 메모리 셀의 집적도를 높이고 단위 용량당 원가(cost/GB)를 낮추는 기술이다. 기존 선폭 미세화가 평면에서 die size를 줄이는 것이라면 3D NAND는 평면이 아닌 수직 으로 메모리 셀을 적층하는 기술이다. 비유하자면 2D NAND가 일반 주택이라면 3D NAND는 아파 트가 되는 것이다. NAND의 셀 구조가 비교적 단순하기 때문에 가능한 기술이다. DRAM은 캐패시터 가 이미 수직으로 올라가는 구조이기 때문에 3D 설계가 어렵다. DRAM은 전공정이 아닌 후공정에서 die를 적층하는 TSV(Through Silicon Via) 기술을 이용해 3D 구조를 구현하고 있다. 3D NAND에 가장 앞서있는 업체는 단연 삼성전자이다. 3D NAND 기술이 경쟁사 대비 1년 이상 앞 서 있다고 판단된다. 삼성전자는 올해 중국 시안 공장에서 32단 3D NAND(TLC) 양산을 시작했으며 최근에는 48단까지 개발에 성공하여 양산이 임박해있다. 32단까지는 2D NAND에 비해 원가 경쟁력 이 떨어지기 때문에 일부 서버용 high-end SSD에만 적용되고 있지만 48단부터는 2D 대비 cost parity가 발생하기 때문에 PC용 SSD에도 적용가능하며 NAND 수익성 개선이 가능할 전망이다. 3D NAND의 핵심은 CTF(Charge Trap Flash) 기술이다. 기존 2D NAND에서 사용한 플로팅게이트 방식이 아닌 부도체인 실리콘나이트라이드(SiN)에 전하를 저장하는 방식이다. 셀 간 노이즈를 줄일 수 있고 control gate의 크기를 줄일 수 있어 미세화에 용이하다. 삼성전자와 Toshiba는 각각 3D CTF 구조를 변형한 TCAT(Terabit Cell Array Transistor)와 Piped-BiCS 방식을 사용한다. 그림 23. 3D NAND 개념도 자료: Applied Materials 그림 24. NAND 공정 비중 변화 그림 25. 2D NAND와 3D NAND 원가 비교 (%) 1 3Xnm 2Xnm 1Xnm 3D-NAND (제조원가) 8 2D NAND 3D NAND 단부터 cost parity 발생 2 1Q12 1Q13 1Q14 1Q15 1Q16F 1Xnm 1Ynm 24단 32단 48단 64단 KDB Daewoo Securities Research 11

12 216 Outlook Report [반도체] NAND는 단품이 아닌 솔루션 제품 NAND는 업체간 기술 격차가 크다. DRAM은 가격, 즉 공정 미세화에 의해서 경쟁력이 결정되는 반 면 NAND는 미세화 외에도 3D NAND, TLC, 컨트롤러 기술, 제품의 신뢰성 등의 다양한 요소가 경 쟁력을 좌우한다. DRAM은 기기가 작동되는 순간에만 필요한 휘발성 메모리이지만 NAND는 기기가 꺼져있어도 데이터를 보관하고 있어야 하는 비휘발성 저장매체이기 때문에 신뢰성이 중요하다. 최근 스마트폰에서 사용하는 멀티미디어 컨텐츠의 용량이 급격하게 증가하면서 NAND의 용량(원가) 뿐 아니라 성능에서도 많은 발전이 이루어지고 있다. NAND 인터페이스는 성능을 결정하는 중요한 기술이며 메모리 업체들이 시장을 선점하기 위한 헤게모니 싸움이기도 하다. 삼성전자는 최근 출시한 갤럭시 S6에서 UFS(Universal Flash Storage) 2.을 처음으로 채택했다. UFS는 기존 emmc와 달리 비동기 방식의 직렬 인터페이스를 사용하고 읽기와 쓰기가 동시에 가능 한 Full Duplex 통신을 하기 때문에 이론적으로 전송 속도는 세 배까지 빠르다. 반면 Apple은 올해 출시한 iphone 6S에서 기존 emmc 방식 대신 SSD에서 주로 사용하는 NVMe 방식을 채택했다. PCIe 버스를 사용하는 NVMe 방식은 전력소모가 상대적으로 높아 모바일 기기보다는 서버 및 PC에 사용되는 SSD 인터페이스에 주로 사용된다. PCIe는 현재 모바일 기기에서 모뎀, WiFi 등에 사용되기 때문에 별도의 호스트 컨트롤러 없이 사용할 수 있다는 장점이 있다. 또한 버스 마스터링이 가능해 스토리지에 있는 데이터를 중앙연산장치를 거치지 않고 바로 전송(DMA)할 수 있는 장점도 있다. 차세대 NAND 인터페이스로 UFS와 NVMe의 경쟁 구도가 당분간 지속될 전망이다. 그림 26. emmc 및 UFS 성능 비교 그림 27. emmc 및 UFS 작동 원리 비교 자료: 삼성전자 자료: 삼성전자 그림 28. 어플리케이션별 NAND 인터페이스 규격 그림 29. 반도체 패키징의 진화 자료: SanDisk 자료: 삼성전자, KDB대우증권 리서치센터 12 KDB Daewoo Securities Research

13 216 Outlook Report [반도체] 표 4. NAND 수급 전망 (백만 GB, %) 1Q15 2Q15 3Q15 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F F 216F 217F 공급 19,66 2,921 23,734 26,124 28,371 3,624 32,973 34,751 63,629 9, , ,199 QoQ/YoY 성장률 삼성전자 6,94 7,573 8,633 9,34 1,177 11,269 11,979 12,578 21,29 32,45 46,2 59,973 Toshiba/Sandisk 7,482 7,856 8,799 9,94 1,638 11,37 12,43 13,61 25,458 34,4 47,435 58,32 Micron 3,251 3,414 3,95 4,373 4,738 4,927 5,125 5,33 11,47 14,944 2,119 26,75 SK하이닉스 1,92 2,65 2,383 2,529 2,83 3,15 3,423 3,765 5,789 8,897 13,95 16,762 기타 수요 18,218 2,315 22,854 25,76 25,952 27,799 32,159 34,725 61,846 87,147 12, ,231 QoQ/YoY 성장률 모바일(스마트폰/테블릿) 4,71 5,58 5,911 6,986 6,89 7,559 8,883 1,114 15,971 22,665 33,446 45,265 SSD(Solid State Drive) 7,456 8,294 9,778 1,785 11,177 11,848 13,857 14,541 23,17 36,314 51,422 78,418 USB/Flash Cards 3,633 4,331 4,6 4,499 4,277 4,5 4,828 4,949 14,344 16,523 18,554 2,17 기타 2,419 2,632 3,15 3,49 3,69 3,892 4,591 5,121 8,515 11,645 17,214 22,378 공급과잉률 7.6% 3.% 3.9% 1.4% 9.3% 1.2% 2.5%.1% 2.9% 3.7% 5.% -3.% 표 5. NAND 업체별 웨이퍼 투입 캐파 (12" 기준, 천장/월) 1Q15 2Q15 3Q15 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F F 216F 217F 삼성전자 ,981 4,38 4,86 5,28 Fab ,44 1,32 1,32 1,32 Fab ,4 2,4 2,4 2,4 C1(Xian) ,14 1,56 Toshiba/Sandisk ,1 5,19 5,662 5,799 Fab ,74 1,8 1,86 1,86 Fab ,43 2,4 2,4 2,4 Fab ,335 1,45 Micron ,655 2,85 3, 3,24 Manassas, US Lehi, US (IMFT) Singapore (IMFT) ,8 1,32 Singapore (TechSemi) SK하이닉스 ,115 2,16 2,475 2,64 M ,56 1,44 1,44 1,44 M ,35 1,2 기타 합계 1,183 1,193 1,233 1,263 1,297 1,322 1,355 1,37 13,797 14,616 16,33 16,998 표 6. 세트 출하량 및 대당 NAND 사용량 (백만대, GB/대) 1Q15 2Q15 3Q15 4Q15F 1Q16F 2Q16F 3Q16F 4Q16F F 216F 217F 세트 출하량 모바일 ,144 2,22 2,323 2,361 피쳐폰 스마트폰 ,265 1,43 1,67 1,71 테블릿 SSD(Solid State Drive) Flash Cards USB Flash NAND 사용량 모바일 피쳐폰 스마트폰 테블릿 SSD(Solid State Drive) Flash Cards 가전 KDB Daewoo Securities Research 13

14 216 Outlook Report [반도체] 비메모리: AP(Application Processor) 진검 승부 삼성전자는 올해 출시한 갤럭시 S6와 Note 5에 대부분 Exynos 742 AP를 채택했다. 과거 삼성전 자의 전략 스마트폰 중에서 일부 내수 모델에 자체 Exynos AP를 채택한적은 있었지만 이번처럼 전 면적으로 Exynos AP가 채택된 것은 처음이다. 여러 벤치마크 결과에 의하면 현존하는 AP 중에서 가장 뛰어난 성능을 기록했으며 14nm FinFET으로 설계되어 die size도 3% 축소되었고 전력소모 도 크게 개선되었다. 유일한 약점이라면 통신칩인 Baseband Modem이 원칩으로 구현되지 않아 별 도의 칩이 필요하다는 점이었다. 물론 이 칩도 삼성이 자체적으로 설계한 Shannon 333이 탑재되었 다. 삼성전자의 AP 설계 능력과 공정 기술이 선발 업체들과 동등한 수준까지 올라섰다고 판단된다. ARM 기반의 AP를 설계하는 방법은 두 가지이다. ARM에서 제공하는 1 레퍼런스 디자인을 이용하 는 방법과 구미에 맞게 디자인을 변경한 2 커스텀코어 디자인이 있다. 그 동안 Exynos는 ARM 레 퍼런스 디자인을 이용한 반면 Apple, Qualcomm은 각각 Cyclone, Krait라는 자체적인 커스텀코어를 사용했다. 삼성전자가 최근에 발표한 Exynos 889에는 자체 설계한 커스텀코어 M1(프로젝트명 몽 구스 )이 탑재된다. 몽구스는 미국 SARC(Samsung Austin R&D Center)에서 개발된 64-bit 커스텀 코어이다. Exynos 889은 기존 Exynos 742 대비 성능은 3% 개선되고 전력소모는 1% 개선되 었다. Big.LITTLE 멀티프로세싱 기술을 적용한 8개의 코어와 고성능 LTE 모뎀(Cat.12/13)을 결합 한 SoC(System on Chip)이다. 기존 28nm 공정에서 설계된 모뎀(Shannon 333)을 14nm FinFET 기반의 AP와 원칩으로 내장하는 기술은 매우 난이도가 높기 때문에 상당한 성과라고 평가된다. 글로벌 AP 1위 업체인 Qualcomm은 올해 출시한 Snapdragon 81이 지속적인 발열 문제를 일으켜 명성에 오점을 남겼다. Snapdragon 81은 커스텀코어를 사용하지 않고 ARM 레퍼런스 디자인을 사 용했다. 64-bit 커스텀코어 Kryo가 준비되지 않은 상황이었기 때문에 32-bit 커스텀코어 Krait 대신 ARM 64-bit Cortex를 사용했다. Qualcomm은 최근에 출시한 Snapdragon 82에 비로서 자체 64- bit 커스텀코어인 Kryo를 채택했으며 삼성전자의 14nm FinFET 공정으로 설계되었다. 내년 프리미엄 스마트폰 시장에서 Exynos 889은 Snapdragon 82과 진검 승부가 가능할 전망이 다. Exynos 889과 Snapdragon 82이 모두 삼성전자의 14nm FinFET 공정으로 양산되기 때문에 삼성전자 System LSI 부문은 양수겸장의 상황이다. 내년 Apple 차기 AP인 A1에서 물량 감소 가 능성이 있지만 14nm FinFET 고객 기반 확대로 이를 충분히 상쇄할 수 있을 전망이다. Huawei 1% 자회사인 HiSilicon도 자체 개발한 Kirin 95을 출시했다. TSMC 16nm FinFET 공정 으로 설계되었으며 Huawei의 프리미엄 스마트폰인 Mate 8에 탑재될 예정이다. MediaTek은 최초로 1개의 코어를 가진 Helio X2을 출시했지만 2nm 공정으로 설계되었으며 16nm 버전인 X3은 아 직 개발 중이다. 국내 기업 중에서는 아나패스가 중저가 AP를 LG전자와 함께 개발하고 있다. 그림 3. Mobile AP 시장 전망 (십억달러) 45 4 그림 31. Mobile AP 시장 점유율 (215F) 미디어텍 18% 기타 1% 35 3 애플 18% F 216F 217F 자료: Gartner, KDB대우증권 리서치센터 삼성전자 9% 자료: Gartner, KDB대우증권 리서치센터 Qualcomm 45% 14 KDB Daewoo Securities Research

15 216 Outlook Report [반도체] 표 7. 모바일 AP 스펙 비교 구분 Qualcomm Samsung HiSilicon MediaTek Snapdragon 82 Exynos 889 Kirin 95 Helio X2 CPU Custom Core Quad-core Kryo (2.2GHz) Custom Core Octa-core M1+A53 (2.3GHz / 1.5GHz) ARM reference design Octa-core A72+A53 (2.3GHz / 1.8GHz) ARM reference design Deca-core A72+A53+A53 (2.5GHz / 2.GHz / 1.4GHz) GPU Adreno 53 Mali T88 Mali T88 Mali T88 모뎀 6Mbps (Category 12) 6Mbps (Category 12) 3Mbps (Category 6) 3Mbps (Category 6) 생산공정 삼성전자 14nm FinFET 삼성전자 14nm FinFET TSMC 16nm FinFET+ 2nm HPM 출시일정 215년 4분기 216년 1분기 215년 4분기 215년 4분기 적용모델 (예상) LG G5 삼성 Galaxy S7 HTC One M1 Sony Xperia Z6 Nexus 7 Xiaomi Mi5 삼성 Galaxy S7 삼성 Galaxy S7 Edge 삼성 Galaxy Note 6 Meizu, Blackberry Huawei Mate 8 Huawei P9max HTC One M1 Xiaomi Mi5 Acer Predator 그림 32. 삼성전자 Exynos 889 구조 자체 설계한 커스텀코어 ' 몽구스' ARM Cortex 자료: AnandTech, KDB대우증권 리서치센터 그림 33. Qualcomm Snapdragon 82 그림 34. MediaTek Helio X2 Tri-Cluster 구조 자료: Qualcomm 자료: MediaTek KDB Daewoo Securities Research 15

16 216 Outlook Report [반도체] III. 중장기 전망 및 이슈분석 중국의 메모리 시장 진출 메모리 반도체 산업은 퇴출의 역사라고 해도 과언이 아니다. 2년 11개에 이르던 DRAM 업체들 이 현재는 3개의 메이저 업체만 남았다. 기술 경쟁에서 밀린 업체들이 하나 둘 퇴출되면서 최근 3년 동안은 과점화된 시장의 수혜를 누리는 생존자들의 파티였다고 할 수 있다. 최근 이런 시장의 변화를 가져온 것은 중국이다. 올해 중국 업체들이 본격적으로 메모리 시장 진출의 출사표를 던지고 있다. 반도체 자급률(현재 9%)을 높이기 위한 중국 정부의 의지는 확고하다. 올해 중국 스마트폰 판매량은 4.2억대로 글로벌 스마트폰 판매량의 29%에 이를 전망이다. 자국 스마트폰 업체들의 시장 점유율이 가파르게 상승하고 있음에도 불구하고 스마트폰 업체 중에서 돈을 버는 업체는 Apple과 삼성전자뿐 이다. 대부분의 이익은 세트 업체가 아닌 부품 업체들이 향유하고 있다. AP 시장에서 Qualcomm, 메 모리 시장에서 삼성전자, SK하이닉스 등의 반도체 업체들이 많은 수익을 챙겨가고 있다. 중국 정부의 막대한 자금 지원, 풍부한 인재, 빠른 의사 결정을 통한 적극적인 M&A는 국내 반도체 업체들에게 향후 큰 위협 요인이 될 전망이다. 국내 업체들도 중국 업체들과의 경쟁보다는 JV (Joint Venture), M&A를 통한 현지화 전략을 통해 협력 관계 구축이 필요해 보인다. 그림 35. 치킨 게임 이 끝난 메모리 반도체 시장에 새로운 플레이어 등장 그림 36. 중국 반도체 매출액 추이 및 전망 (목표) 그림 37. 중국 정부의 반도체 지원 펀드 (십억달러) 북경: $4.8bn 중국 반도체 매출액은 IDM, Fabless, Foundry, OSAT(후공정) 포함 143 지방정부 상해: $1.6bn 12 1 연평균 성장률 (214~22): > 2% 중앙정부 $21bn $6.5bn 심천 우한 8 안휘 연평균 성장률 (21~214): 26% F 17F 19F 산동 중앙정부 펀드 China Integrated Circuit Industry Investement Fund (CICIIF) -중국개발은행주도 - 214년 9월 설립 - 최초 투자 집단으로는 중국개발은행, 중국 담패 공사, 베이징 E-Town 국제투자 개발, 차이나 모바일 Shanghai Guosheng 등 있음 - 펀드 투자 배분: 웨이퍼제조(4%), 칩 디자인(3%), 패키징&테스팅(3%) 자료: SEMI, KDB대우증권 리서치센터 자료: SEMI, KDB대우증권 리서치센터 16 KDB Daewoo Securities Research

17 216 Outlook Report [반도체] 중국의 위협 요인 1 풍부한 엔지니어와 2 빠른 의사 결정을 통한 적극적인 M&A 중국 칭화대는 올해 세계 공과대학 평가에서 처음으로 미국 MIT를 제치고 엔지니어링 분야에서 1위 를 차지했다. 그 외에 저장대(4위), 하얼빈공대(7위) 등이 상위권에 오르며 많은 엔지니어들을 배출하 고 있다. 211년부터는 해외 유명 대학의 인재들을 본토에 유치하기 위한 청년 천인계획 을 통해 매 년 4명의 인재들을 불러들이고 있으며 최근 이를 더욱 확대할 계획을 가지고 있다. 중국은 내부적으로 기술 확보가 어렵다고 판단되면 공격적인 M&A를 통해 IT 기술 확보에 나서고 있다. 올해 3분기까지 중국의 해외 M&A(Cross-Border Transaction) 건수는 221건이었으며 금액 은 77억달러에 이른다. 지난해 연간 149건에 448억달러와 비교하면 크게 늘어난 수치이다. 올해 해외 M&A 중에서 미국 내 투자가 46건, 금액으로는 136억달러로 가장 많았으며 업종별로는 IT 하 드웨어가 많았다. 단일 건수로 가장 큰 금액은 최근 Tsinghua Unisplendour가 Western Digital 지 분 15% 인수를 위해 투자한 38억달러이다. 중국은 국유기업이 많고 민간기업의 경영진들도 실질적 인 오너이자 창립자인 경우가 많아 의사 결정이 빠르다. 표 8. 세계 공과대학교 순위 (215년) 순위 국가 대학교 1 중국 Tsinghua University (칭화대학교) 2 미국 MIT (Massachusetts Institute of Technology) 3 미국 University of California Berkeley 4 중국 Zhejiang University (저장대학교) 5 싱가폴 Nanyang Technological University 6 싱가폴 National University of Singapore 7 중국 Harbin Institute of Technology (하얼빈공대) 8 미국 Stanford University 9 미국 Georgia Institute of Technology 41 한국 KAIST (Korea Advanced Institute of Science and Technology) 52 한국 Seoul National University (서울대학교) 자료: US News & World Report 표 9. 반도체 기술 확보를 위한 Tsinghua 그룹의 공격적인 행보 날짜 내용 215년 7월 13일 Tsinghua Unigroup이 $23억(시가대비 19% 프리미엄)에 Micron 인수했지만 성사되지 못함 215년 1월 1일 Unisplendour는 글로벌 HDD 1위, SSD 7위 업체인 Western Digital 지분 15%를 $38억에 인수 215년 1월 5일 Inotera 사장(Charles Kau)를 Tsinghua Unigroup 부사장으로 영입 215년 1월 21일 Western Digital은 $19억에 SanDisk 인수. Tsinghua 그룹이 우회적으로 SanDisk를 인수한 효과 215년 1월 3일 Tsinghua Unigroup은 대만 반도체 패키징 및 테스트 업체인 Powertech 지분 25%를 $6억에 인수 215년 11월 6일 Tongfang Guoxin $126억 유상증자 결정 ($95억은 메모리 공장 건설, $31억은 M&A에 활용할 계획) 그림 38. Tsinghua 그룹의 지배 구조 Tsinghua University Tsinghua Holdings Fabless 51% Tsinghua Unigroup Intel Investment Company 2% 1% Unigroup Communication 7% UNIS Spreadtrum RDA Unisplendour Corporation IT 부품,S/W,호텔 건설, H3C(51%) 1% National IC Fund 1% RDA 1% Spreadtrum Tsinghua Holdings Capital 투자회사 ChengZhi Shareholdings 바이오 Tsinghua Tongfang 소비자가전 에너지, LED, S/W 기타 KDB Daewoo Securities Research 17

18 216 Outlook Report [반도체] 중국은 스마트 제조업으로 변화 중 중국 경제의 성장 둔화와 인건비 상승으로 전통적 제조업의 원가 경쟁력이 감소하고 있다. 이에 중국 당국은 전통적인 자원집약형 구조에서 기술집약형 구조로 바꾼다는 내용과 함께 제조업 경쟁력 강화 를 목표로 올해 5월 중국제조 225 정책을 마련했다. 이번 정책은 단기 정책이 아니라 기존에 발표 했던 정책들과 함께 최대 1년간 중국의 산업 정책으로 추진될 예정이다. 중국은 제조업 기반이 견고하지 못하고 품질 수준이 낮아 새로운 혁신이 필요하다. 정부는 제조업 강 화를 위해 R&D 지출을 늘렸고 그 결과로 28년 4,57억 위안에서 214년 1조 3,312억 위안으로 R&D 지출 비용이 3배 증가하였다. 하지만 여전히 213년 중국의 국내총생산(GDP)당 연구개발비가 차지하는 비중은 2.% 수준으로 선진국 평균인 2.5%에 미치지 못한다. 이번 정책을 통해 중국은 225년까지 R&D 지출을 확대하여 첨단 산업 기술 수준을 향상시키려 하고 있다. 특히 제조업과 인터넷의 융합을 통해 IT 기술력 향상을 꾀하고 있다. 빅데이터, 클라우드 컴퓨팅 등 모바일 인터넷 기술 응용과 알고리즘 분석 방식을 통해 새로운 시스템 서비스를 구축하고, 4개 핵심 프로젝트(반도체, 정보통신 설비, 소프트웨어, 지능형 제조)를 통해 IT 산업을 육성할 예정이다. 그림 39. 중국 스마트폰 판매량 추이 및 전망 그림 4. 중국 수출 비중 (백만대) 중국 스마트폰 판매수량 추이 (L) YoY (R) (%) 25 2 (%) 6 5 중국의 수출에서 외자기업 비중 (L) 세계 수출에서 중국의 비중 (R) (%) Q1 1Q11 1Q12 1Q13 1Q14 1Q15 1Q 자료: IDC, KDB대우증권 리서치센터 자료: 중국 통계국, KDB대우증권 리서치센터 그림 41. 중국 제조업 경기 동향 지수 그림 42. 중국 R&D 지출규모 및 비중 (P) 53 중국 제조업 경기 동향(PMI) (십억위안) 1,4 1,2 R&D 지출 (L) GDP 대비 비중 (R) (%) , 자료: 중국 공업원, KDB대우증권 리서치센터 자료: 중국 제조 225, KDB대우증권 리서치센터 18 KDB Daewoo Securities Research

19 216 Outlook Report [반도체] 표 1. 중국제조 225 1대 중점 산업 분야 산업대분류 산업소분류 주요 내용 차세대 정보기술 반도체 정보통신 - 반도체 설계 수준을 향상, 지식재산권(IP)을 보유한 핵심 설계설비 확대 - 국가정보 및 인터넷 보안 유지, 전자제품 산업 발전에 필요한 핵심 칩 국산화 사용 확대 - 고밀도 패키징 및 3D 마이크로 패키징 기술을 개발하여 패키징 산업 발전과 테스트 역량 강화를 도모 - 핵심 제조설비를 안정적으로 공급 - 신형 PC, 초고속 인터넷, 첨단메모리, 체계적인 보안시스템 등의 핵심 기술을 개선하여 5세대 모바일 통신기술, 첨단 라우팅 기술, 초고속 대용량 스마트 광전송 기술 등을 발전 - 첨단서버, 대용량 메모리, 신형 라우팅, 신형 스마트 단말기, 차세대 기지국, 인터넷 보안 장비 등을 개발 고정밀 수치제어 및 로봇 항공우주 장비 OS 및 산업용 S/W 고정밀 수치제어 로봇 항공장비 우주장비 - 보안관련 OS 등 산업용 S/W를 개발 - 스마트 디자인 및 시뮬레이션 설비, 사물인터넷, 산업용 빅데이터 처리 등 첨단 산업용 S/W 기술을 발전 - 첨단 산업 S/W 플랫폼과 응용 어플리케이션을 개발 - 산업용 S/W 통합표준과 보안 테스트 시스템을 구축 - 고정밀, 고속 고효율 수치제어, 기초 생산설비 및 통합 생산 시스템을 개발 - 고정밀 수치 제어, 적층 가공(Additive Manufacturing)등 첨단 기술 및 설비 R&D 강화 - 고정밀 수치제어 시스템, 서보모터, 베어링, 래스터 등 주요 기능성 부품과 응용 어플리케이션을 개발 및 상용화 - 사용자 프로세스 인증 역량을 강화 - 자동차, 기계, 전자, 위험물 제조, 국방, 화학공업, 경공업 등의 산업용 로봇 신제품 개발 - 헬스케어, 가사, 교육, 엔터테인먼트 등의 특수형 로봇의 신제품 개발을 확대 - 로봇의 표준화 및 모듈화 발전을 추진 및 로봇의 응용범위 확대 - 로봇 본체 감속기, 서브모터, 제어기, 센서, 구동기 등 핵심 부품 및 시스템 통합설계 제조 등을 발전 - 대형 항공기 및 기체 확장형 기종을 연구, 생산, 중형 헬리콥터 생산을 위해 국제협력을 강화 - 간선 및 지선 항공기, 헬리콥터, 무인기 등의 상용화를 도모 - 고추 진력 중량비, 첨단 터보 프롤 엔진, 고바이 패스비 터보팬 기술을 개발 - 항공기 탑재 장비 및 시스템을 개발과 자체 생산이 가능 항공산업 사슬을 구축 - 차세대 탑재 로켓, 중형 우주 발사체를 개발하는 등 우주비행산업 경쟁력을 제고 - 인공위성, 페이로드, 초고속 인터넷망 등 인프라를 구축하고 원격탐사 위성, 통신, 네비게이션등 항공정보역량을 강화 - 유인 우주비행 및 달탐사 프로젝트를 추진하고 심우주 탐사를 실시 - 우주비행기술의 상용화 및 우주기술 응용을 확대 해양장비 및 첨단기술 선박 선진 궤도교통설비 에너지 절약 및 신에너지 자동차 전력설비 농업기계장비 신소재 - 심해탐사, 재원개발 및 이용, 해양 안전장비 및 시스템 발전 추진과 해저 정거장, 대형 부유식 구조믈을 구축 - 해양 플렌트 설비 테스트, 모니터링, 검증 역량을 강화하고 해양자원의 개발, 이용 수준을 제고 - 크루즈선 설계, 건조 기술 수준 향상과 LNG 선박 등 최첨단 선박의 글로벌 경쟁력을 강화 - 보조 장비 통합, 지능화, 모듈화 관련 기술을 확보 - 신소재, 신기술, 신공법을 응용. 보안시스템, 에너지 절감 및 환경보호, 디지털 및 스마트 네트워크 기술을 발전 - 친환경, 스마트, 고속 대용량 궤도교통설비 시스템을 구축 - 궤도 교통산업을 세계적인 수준으로 발전 - 전기 자동차, 연료전지 자동차를 지속적으로 발전 - 자동차의 저탄소 정보화 스마트화 기술을 보유 - 동력전지, 모터드라이브, 고효율 내연기관, 첨단 변속기, 경량소재, 스마트 제어 등 핵심 기술 상용화를 추진 - 핵심 부품 등을 포함한 완성차 생산시스템을 완비. 중 국산 에너지절약 및 신에너지 자동차 수준을 글로벌 수준으로 제고 - 대규모 고효율 청정 화력발전의 상용화 및 시범응용을 추진 - 대용량 수력발전, 원자력 발전, 중형 가스터빈 제조 수준을 제고 - 신재생 에너지설비, 첨단 에너지저장장치(ESS), 스마트 그리드 송전 변전 등을 발전 - 고성능 전력전자부품, 고온 초전도소재 등 핵심 소자 및 부품의 응용기술을 향상시키고 상용화를 추진 - 곡물 목화 기름 설탕 등의 식량, 경제작물의 파종 재배 수확 운반 저장 등 주요 생산 과정에서 쓰이는 농업기계장비를 발전 - 대형 트랙터, 복합 작업기, 대형 수확기 등 첨단 농업기계장비 및 핵심 부품의 발전을 추진 - 농업기계장비에 대한 정보수집, 의사결정, 정 밀작업 역량을 강화하고 농업 생산성 증대를 위한 정책을 마련 - 특수금속 기능성소재, 고성능 구조재료, 기능성 고분자소재, 특수무기질 비금속재료, 첨단 복합소재를 중점적으로 발전 - 최첨단 정련 응고 성형기술, 기상 증착, 소재가공, 고효율 합성 등 신소재 생산에 필요한 기술 및 설비를 개발 - 기초연구 기반 강화 및 상용화 확대, 군 민용 특수신소재를 개발, 상호 기술이전을 촉진하여 신소재산업의 군 민 융합 발전 추진 - 초전도소재, 나노소재, 그래핀, 바이오 기초소재 등 전략적 최첨단소재를 개 발하여 기초소재 경쟁력을 강화 바이오의약 및 고성능 의료기기 자료: 중국제조 225, KDB대우증권 리서치센터 - 중증질환을 대상으로 한 화학 의약품, 중의약품, 바이오 의약품을 개발 - 의료기기 혁신성 및 상용화 수준을 제고 - 영상장비 의료용 로봇 등 고성능 진료장비 및 혈관 내 완전분해 스텐트 등 고부가가치 의료용 소모품을 개발 - 웨어러블, 원격 진료 등 모바일 의료서비스 에 필요한 제품을 개발 - 바이오 3D 프린터 등 첨단기술을 개발 및 응용 확대 KDB Daewoo Securities Research 19

20 216 Outlook Report [반도체] 차세대 반도체 기술의 부상 올해 들어 차세대 메모리 기술에 대한 관심이 급격하게 높아지고 있다. 차세대 메모리 기술 개발은 꾸준히 진행되어 왔고 올해 갑자기 부상한 이슈는 아니다. 다만 최근 차세대 메모리에 대한 중요성이 부각되는 이유가 1 중국의 메모리 산업 진입과 2 공정 미세화가 점차 어려워지고 있기 때문이다. 중국의 메모리 산업 진입으로 선발 업체들은 원가 경쟁력(Cost Leadership)을 넘어 제품 차별화 (Product Differentiation)에 대한 필요성이 증대되고 있다. 디스플레이 산업에서 중국 업체들이 LCD 패널 시장에 진입하면서 국내 업체들이 OLED를 통한 차별화 제품을 적극적으로 추진하는 것과 같은 맥락이다. 원가 경쟁만으로는 중국 업체들 대비 우위를 점하기 어렵기 때문이다. 반도체의 미세 공정 전환도 날이 갈수록 어려워지고 있다. 기존 ArF 광원을 이용하여 DPT(Double Patterning) 공정을 사용할 경우 16nm까지 선폭을 축소할 수 있다. 하지만 노광을 두 번하면서 추가 되는 프로세스들이 있기 때문에 기존 공정에 비해 생산성이 떨어질 수 밖에 없다. 선폭이 감소하면서 발생하는 또 하나의 큰 문제점은 캐패시터의 aspect ratio 증가이다. Aspect ratio가 너무 높아지면 캐패시터 구조가 쓰러져 인접한 캐패시터와 붙어버리는 문제가 발생한다. 3nm 이하의 공정 전환에서 DPT 스텝 증가에 따른 캐파 자연 감소분으로 동일한 bit growth를 위 한 capex가 급격히 증가하는 것을 확인할 수 있다. 2nm대 공정이 본격적으로 적용되기 시작한 213년부터 동일한 투자금액으로 달성할 수 있는 bit growth가 현저히 감소한다. Capex의 효율성이 감소하면서 메모리 업체들이 공격적으로 증설하기 어려워졌다. 그림 43. DRAM 공정 미세화에 따른 캐패시터의 Aspect Ratio (종횡비율) 자료: SK하이닉스 그림 44. 미세 공정 전환에 따른 DPT 스텝 증가 그림 45. DRAM capex 및 capex 효율성 추이 (회) DPT 스텝수 (십억달러) Capex(L) Capex 효율성(R) (십억달러/%) 2nm대 진입 6 5 동일한 bit growth를 위한 투자금액 급증 nm 2Xnm 2Ynm 2Znm 1Xnm KDB Daewoo Securities Research

21 216 Outlook Report [반도체] PRAM(위상변화메모리) PRAM은 비휘발성 상변화 소재를 이용한 메모리 소자이다. PRAM 셀 구조는 트랜지스터 1개와 상변 화 재료인 칼코지나이드(Chalcogenide)계 저항이 연결된 1T1R로 구성되어있다. 칼코지나이드계 소 재는 Ge(게르마늄)-Sb(안티몬)-Te(텔레늄) 금속 합금을 주로 사용하고 줄여서 GST라고 부른다. GST 물질은 자체 저항 가열에 의해 비정질화(Amorphous, Reset, 고저항), 결정화(Crystalline, Set, 저저항)의 상변화를 일으키며 상변화에 따른 저항차를 메모리 소자에 응용하는 원리이다. GST 상변화를 일으키는 방법이 두 가지가 있다. 첫 번째는 광학식(Optical)으로 레이저를 이용해 상 변화를 일으키는 방식이며 기존 CD-RW 또는 DVD-RW에서 널리 사용되고 있다. 다만 CD와 DVD 에서는 상변화에 따른 저항차를 이용하는게 아니라 상변화에 따른 굴절률 차이를 이용하는 원리이다. 두 번째는 전기적(Electrical)으로 상변화를 일으키는 방식이며 메모리 반도체에서 사용된다. PRAM의 장점은 속도가 기존 비휘발성 메모리인 NAND에 비해 1,배 빠르며 1억번의 읽기/쓰기 가 가능해 내구성도 우수한 편이다. 상변화의 단계를 세분화할 수 있어 MLC(Multi-Level Cell) 구현 도 가능하다. 단점은 열에 의해서 상변화를 일으키는 소재를 사용하기 때문에 열에 민감하며 데이터 오류 가능성이 있다는 점이다. NAND는 PCB에 실장되기 전에 미리 프로그래밍이 가능하지만 PRAM은 고온 리플로우(Reflow) 실장 공정에서 데이터가 소멸될 수 있다. 그림 46. PRAM 셀 구조 및 동작원리 자료: 대한전자공학회지, California State University 그림 47. 비정질화, 결정화를 위한 전류와 시간 그림 48. 전류 제어를 통한 MLC(2-bit) 구현 자료: California State University 자료: California State University KDB Daewoo Securities Research 21

22 216 Outlook Report [반도체] MRAM(자기장메모리) MRAM은 소재가 지닌 자기적 성질인 자성을 이용한다. 모든 물체 내부에는 미세한 자석이 있어 모 든 물체가 자성을 가지고 있다. MRAM은 이런 자성의 정도를 제어해 데이터를 저장할 수 있다. 자기 저항효과를 활용한 자성소자 기술은 스핀트로닉스(Spintronics)의 한 분야이다. 이 기술의 단초가 된 거대자기저항(Giant Magnetoresistance) 현상은 1988년 프랑스의 A. Fert와 독일의 P. Grunberg에 의해 발견되었으며 27년 노벨 물리학상이 수여되었다. MRAM의 동작원리는 전극 사이에 위치한 자기터널접합(MTJ, Magnetic Tunnel Junction)을 구성하 는 2개의 강자성층의 자화(Magnetization)가 서로 평행인가 반평행인가에 따라 달라지는 저항값을 이용해 데이터를 저장한다. 자기터널접합은 강자성체로 구성된 고정층(Pinned Layer)과 자유층(Free Layer)을 가지며 중간에 터널배리어(Tunnel Barrier)로 사용되는 절연층에 의해 분리되어 있다. 자 화의 방향은 외력이 없이는 변하지 않으므로 전원이 차단되더라도 데이터가 보전되는 비휘발성 메모 리 기술이다. 평행, 반평행 상태에 따른 저항값의 변화를 터널자기저항비(TMR, Tunneling Magnetoresistance)라고 부른다. MRAM의 상용화를 위해서는 TMR이 높은 자성 소재와 터널배리 어 소재를 개발하는 것이 핵심이다. MRAM의 장점은 SRAM 수준의 고속 읽기/쓰기가 가능하면서 셀 사이즈가 작아 집적화를 높일 수 있다. 저전력, 높은 내구성, 비휘발성 메모리이기 때문에 SRAM, DRAM, NAND의 장점을 모두 보유 한 궁극의 메모리 기술이다. MRAM의 문제점은 자기장을 이용하여 하나의 셀을 동작하기 때문에 집 적도가 증가할수록 인접 셀 간의 간섭이 심해지는 문제를 안고 있다는 것이다. 문제를 해결할 수는 있으나 공정이 복잡해지고 생산성이 떨어져 원가 경쟁력을 확보하지 못하고 있다. 표 11. MRAM과 기존 메모리 기술 비교 SRAM DRAM NAND MRAM 읽기 속도 매우 빠름 보통 보통 빠름 쓰기 속도 매우 빠름 보통 느림 빠름 비휘발성 X X O O 내구성 높음 높음 낮음 높음 리프레쉬 X O X X 셀 사이즈 큼 작음 작음 작음 저전력 O O X O 그림 49. MRAM 셀 구조 및 동작 원리 자료: 한국물리학회지 22 KDB Daewoo Securities Research

23 216 Outlook Report [반도체] ReRAM(저항메모리) ReRAM은 소자의 전기적인 저항 특성이 외부 인가전압에 의해 변화하는 원리를 이용한 메모리 기술 이다. 일반적으로 금속산화물을 이용한 MIM(Metal Insulator Metal) 구조로 전기적 신호를 가하면 저항이 큰 전도가 되지 않는 상태(OFF state)에서 저항이 작은 전도가 가능한 상태(ON state)로 바 뀌는 특성을 갖는다. 전기적 신호를 가했을 때 저항이 낮아지는 이유는 절연층에 특정 임계 전압의 크기를 넘게 되면 발생하는 절연파괴(dielectric breakdown) 현상이 발생해 국소적으로 전도성 필라 멘트(conducting filament)가 생성되기 때문이다. 다시 임계 전류가 흐르게 되면 전도성 필라멘트가 끊어지게 되어 OFF state로 돌아가게 된다. 최근에는 전도성 필라멘트의 생성과 소멸과 관련하여 전 자현미경으로 관찰한 결과 메모리 스위칭시 필라멘트가 같은 자리에서 반복하여 생기는 것이 아니라 매번 필라멘트의 위치가 틀려진다는 결과가 국내 연구진에 의해 발표되기도 했다. ReRAM의 동작 속도는 DRAM과 유사한 수준으로 빠르며 저전력에서 작동 가능하다는 장점이 있다. 다른 반도체 기술보다 3D 적층이 용이해 집적도를 높일 수 있어 차세대 스토리지 기술로 각광받고 있다. 기존 NAND를 이용한 스토리지에 비해 속도는 2배 이상 빠르고, 내구성은 1배 이상 길다. 전력소모는 NAND의 5%만 소모하고도 동일한 동작을 수행할 수 있어 모바일 기기에 유용하게 활용 될 수 있다. ReRAM의 단점은 아직까지 절연층의 전도성 필라멘트 생성 원리가 정확히 밝혀지지 않 아 안정적인 작동이 보장되지 않는다는 점이다. 메모리 스위칭이 복잡해질수록 데이터가 손상될 가능 성이 높으며 특성 변화에 맞게 오류 정정 회로가 필요하다. 그림 5. ReRAM 셀 구조 및 동작 원리 그림 51. Planar NAND, 3D NAND와 3D ReRAM 비교 자료: Crossbar KDB Daewoo Securities Research 23

24 216 Outlook Report [반도체] 업체별 차세대 메모리 기술 개발 현황 Intel-Micron: 3D XPoint 공개 올해 7월 28일 Intel과 Micron은 차세대 메모리 기술인 3D XPoint를 공개했다. 3D XPoint는 기존 NAND와 같은 비휘발성 메모리 소자이면서 속도는 기존 NAND에 비해서 1,배 빠르고 내구성도 1,배 높은 것으로 발표했다. 장점만 보자면 NAND에 비해서 성능과 내구성이 좋고 DRAM에 비 해서는 비휘발성이고 단위 용량당 가격이 싸다. 다만 DRAM의 용도는 CPU와 스토리지를 연결하는 메인 메모리이기 때문에 속도가 중요하고 NAND의 용도는 스토리지이기 때문에 단위 용량당 가격이 중요하다. 그런 측면에서 3D XPoint의 제품 포지셔닝이 애매하다. DRAM을 대체하기에는 속도가 느 리고 NAND를 대체하기에는 가격이 비싸다. 기존 기술을 대체하기보다는 새로운 어플리케이션으로 사용될 가능성이 높다고 판단되며 기존 메모리 시장에 비해서 규모는 미미할 전망이다. 3D XPoint 기술이 가지는 의미는 있다. 다른 차세대 반도체 기술들이 대용량으로 양산된 적이 없는 데 비해 3D XPoint는 1세대 128Gb 제품을 2nm 공정에서 2-layer로 양산 준비를 하고 있다. 현재 Lehi(미국, Utah)팹 캐파 2K/월 중에서 일부를 3D XPoint 생산에 이용하고 있다. 향후 3D XPoint 는 공정 미세화와 layer 증가를 통해 확장성을 높일 수 있다고 밝히고 있다. 3D XPoint의 기술은 플로팅게이트에 전하를 저장하는 NAND와 근본적으로 다르다. 데이터를 저장 하는 원리는 재료의 속성 변화(bulk material property change)를 이용한다. 여기서 속성이 상변화 (PRAM)인지 저항(ReRAM)인지는 알려지지 않았지만 재료와 장비가 많이 달라질 것이라는 전망이 우세하다. 기존 설비들을 활용하기 어렵기 때문에 신규투자가 필요하다는 재무적인 부담이 있다. 표 12. 3D XPoint 성능 및 가격 비교 성능 DRAM NAND 3D XPoint HDD 읽기 속도 (초) ns (1-9 ) 수십 μs (1-5 ) 수십 ns (1-8 ) 수십 ms (1-2 ) 가격 (USD/GB) $4~5 $.3~.4 미정 ($4 수준 예상) $.1 그림 52. 3D XPoint 구조 자료: Micron 24 KDB Daewoo Securities Research

25 216 Outlook Report [반도체] Intel은 3D XPoint 기술을 이용해 새로운 어플리케이션에 활용할 가능성이 크다. In-memory database, Big Data 등의 서버 어플리케이션에 먼저 사용될 전망이다. Intel은 올해 개발자 포럼(Intel Developer Forum 215)에서 3D XPoint를 이용한 제품의 브랜드인 Optane Technology 공개했다. 그림 53. Intel Optane 기술은 3D XPoint를 이용한 솔루션 브랜딩 자료: Intel Developer Forum 215 Intel과 Micron은 3D XPoint 기술을 공동으로 개발하지만 최종 제품은 각자 상품화할 계획이다. 기 술 개발은 같이 하지만 최종 제품은 경쟁자인 셈이다. Intel은 데이터베이스, 스토리지 중심의 제품에 집중하고 Micron은 스토리지와 메인 메모리 제품에 집중할 것으로 예상된다. JEDEC은 비휘발성 메 모리를 메인 메모리로 이용하는 DDR4 NVDIMM 인터페이스를 발표했고 이를 이용한 제품(SanDisk ULLtraDIMM, AgigA NVDIMM)들이 출시되기도 했다. 향후 3D XPoint를 이용한 메인 메모리 제품 의 출시 가능성도 있다고 본다. 기존 PC보다는 임베디드 제품이나 IoT 솔루션에 활용될 수 있다. 그림 54. 비휘발성 DDR4 NVDIMM 자료: Agiga KDB Daewoo Securities Research 25

26 216 Outlook Report [반도체] 삼성전자: 차세대 반도체 기술을 모두 독자적으로 개발하고 있는 유일한 업체 삼성전자는 양산성을 확보하지 못한 다른 차세대 반도체 기술보다 양산성 검증이 완료된 3D NAND 에 집중 투자할 전망이다. 3D NAND 기술이 경쟁사 대비 1년 이상 앞서 있다고 판단된다. 삼성전자 는 올해 중국 시안 공장에서 32단 3D NAND(TLC) 양산을 시작했다. 올해 하반기에는 48단 3D NAND(TLC) 양산이 본격화되면서 Planar NAND와 경쟁이 가능한 원가 구조를 확보할 전망이다. 그림 55. 글로벌 NAND 업체들의 공정 전환 로드맵 그렇다고 삼성전자가 다른 차세대 반도체 기술을 준비하지 않는 것은 아니다. 오히려 차세대 반도체 기술로 꼽히는 MRAM, PRAM, ReRAM 기술을 모두 독자적으로 개발하고 있는 유일한 업체다. 삼성전자는 26년 512Mb PRAM 프로토타입을 공개했으며 21년 65nm 공정에서 생산된 PRAM MCP(Multi Chip Package)를 상용화하기도 했다. NAND Flash를 대체하기보다는 운영체제나 펌웨어를 저장하는 NOR Flash를 대체하기 위한 용도로 사용되었다. 211년에는 58nm 공정에서 생 산한 1Gb PRAM에 이어 212년에는 2nm 공정에서 생산한 8Gb PRAM을 발표했다. 삼성전자는 211년 MRAM의 원천 특허를 보유한 미국의 Grandis를 인수했다. Grandis는 DRAM 시장에서 한국에 밀린 미국이 메모리 반도체 시장의 판도를 뒤집고자 히든카드로 준비한 기술 개발 업체다. 22년 미국 국방부 산하 방위산업기술청(DARPA)과 현지 벤처캐피털들이 각각 1,5만달 러를 투자해 Grandis를 설립했다. 그러나 Grandis는 MRAM을 상용화할 자금이 부족했다. 삼성전자 는 이런 Grandis를 인수해 자사의 MRAM 연구 기지로 바꾼 것이다. 그림 56. 삼성전자 차세대 메모리 개발 현황 자료: ISSCC KDB Daewoo Securities Research

27 216 Outlook Report [반도체] 삼성전자는 211년 7월에는 기존 메모리보다 내구성을 높이고 전력 소모를 줄인 ReRAM을 공개했 다. 흥미로운 사실은 삼성전자가 이미 211년에 Intel-Micron이 공개한 3D XPoint array와 유사한 메모리 구조를 검토했지만 critical mask 수의 증가와 공정 미세화의 한계로 일시적인 해결책이라고 결론을 내렸다. 대신 단일 공정에서 메모리 셀들을 쌓아 올리는 방식인 Vertical ReRAM(VRRAM)이 3D NAND 이후 차세대 스토리지 기술로 유망할 것으로 발표했다. 그림 57. 3D XPoint ReRAM과 Vertical ReRAM 기술 비교 자료: 삼성전자 그림 58. 3D XPoint ReRAM의 원가 한계 자료: 삼성전자 KDB Daewoo Securities Research 27

28 216 Outlook Report [반도체] SK하이닉스: 공동 개발로 차세대 메모리 경쟁력 강화 SK하이닉스도 차세대 메모리 기술인 PRAM, MRAM(STT-RAM), ReRAM을 모두 연구하고 있다. 특이한 점은 각 기술마다 공동 개발하고 있는 파트너가 다르다는 점이다. 지난 212년 SK하이닉스는 IBM과 PRAM 공동개발 및 라이센싱에 대한 계약을 체결했다. IBM은 MLC 기반의 PRAM을 이용해 PC와 서버의 부팅 속도를 대폭 줄이고 IT시스템의 전체적인 성능을 높이는데 성공했다. 또한 엔터프라이즈 서버의 성능 향상 및 전력소비 완화를 위한 SCM(Storage Class Memory)을 적용했다. SCM은 DRAM과 SSD의 중간역할을 하는 신개념 버퍼 메모리이다. SK하이닉스는 26년부터 211년 삼성전자가 Grandis를 합병하기 전까지 Grandis와 MRAM 기술 을 공동 연구했다. Grandis를 삼성전자에 빼앗긴 SK하이닉스는 일본 Toshiba와 MRAM(STT- RAM)을 공동 개발하고 있다. Toshiba도 MRAM 원천 기술이 많은 업체 가운데 하나다. ReRAM에서는 SK하이닉스와 HP가 협력하고 있다. HP는 자체 개발한 맴리스터(Memristor) 기술을 SK하이닉스에 이전하고 SK하이닉스는 상용화된 ReRAM을 HP에 우선적으로 공급하게 된다. 그림 59. SK하이닉스 차세대 메모리 전략 자료: SK하이닉스 그림 6. SK하이닉스 차세대 메모리 협력 현황 자료: SK하이닉스 28 KDB Daewoo Securities Research

29 216 Outlook Report [반도체] IV. Valuation 및 투자전략 투자 전략 및 Top Picks 216년 DRAM 시장은 올해 대비 3% 감소한 458억달러(52조원)를 예상한다. 4년만의 역성장이다. DRAM 시장은 본격적인 하락 국면에 진입했다. 과거 하락 사이클이 평균적으로 8분기 정도 지속되 었다고 가정하면 이제 절반 정도 지난 셈이다. 이번 하락 사이클이 과거와 다른 점은 과점화된 경쟁 구도와 미세공정 전환의 어려움으로 공급 증가가 제한적이라는 것이다. 글로벌 IT 수요 부진으로 상 승 동력 회복을 기대하기는 어렵지만 제한적인 공급 증가로 과거의 하락 사이클처럼 적자 국면까지 가지 않고 안정적인 수익성을 유지한 연착륙의 가능성이 높다고 판단된다. 216년 NAND 시장은 올해 대비 7% 성장한 319억달러(38조원)를 예상한다. 공정 미세화가 어려워 지면서 3D NAND, TLC을 활용한 원가절감 기술력이 메모리 업체들의 핵심 경쟁력이 될 전망이다. 전체 NAND 출하량에서 3D NAND 비중은 올해 6%에서 내년 2%로 급증할 전망이다. 3D NAND 는 주로 서버 및 클라이언트 SSD 시장에 공급되며 NAND의 킬러 어플리케이션이 될 전망이다. 중국 업체들의 메모리 시장 진출이 가시화되고 있다. DRAM 시장보다는 NAND 시장에 먼저 진입할 가능성이 크다고 판단된다. 기존 업체들이 기술 주도권을 유지하면서 후발 업체들의 추격을 따돌리기 위해서는 선도 기술 투자를 확대해야 한다. 내년 DRAM 투자는 축소되겠지만 NAND 및 차세대 메 모리 투자는 확대될 전망이다. 내년 상반기에 반도체 장비 업체에 관심이 필요한 이유이다. 내년 반도체/장비 산업의 Top Picks으로는 공정 기술을 선도하고 있고 지속 가능한 주주환원 정책을 제시한 삼성전자를 최선호주로 제시한다. 중소형주 중에서는 Driver-IC와 자동차용 IC의 성장이 예 상되는 실리콘웍스, 반도체 장비 업체 원익IPS, OLED 장비 업체 에스에프에이를 추천한다. 표 13. 반도체/장비 업종 유니버스 투자의견 및 목표주가 (원, %) 종목 코드번호 투자의견 현재가 목표가 상승여력 변동사항 삼성전자 593 매수 1,284, 1,7, 32.4 유지 원익IPS 353 매수 8,57 16, 86.7 유지 실리콘웍스 1832 매수 33,55 48, 43.1 유지 에스에프에이 5619 매수 49,6 6, 21. 유지 SK하이닉스 66 매수 31,6 45, 42.4 유지 케이씨텍 2946 매수 1,3 17, 유지 유진테크 8437 매수 12,95 18, 39. 목표가 하향 한미반도체 427 중립 1,4 - - 투자의견 하향 주: 15년 11월 3일 종가 기준 그림 61. 글로벌 메모리 반도체 시장 추이 및 전망 (십억달러) 1 8 IBM, Microsoft, Intel 등 주도 DRAM(L) NAND(L) 삼성전자 주가(R) Nokia, Intel, Qualcomm 등 주도 Apple, Google, 삼성전자 주도 (백만원) 1.8 IoT - 부품의 시대 1.6 스마트 디바이스 성장기 PC DRAM 장기 호황 인터넷 휴대폰 성장기 - NAND 수요 본격화 Embedded NAND DDR DDR2 DDR3 DDR SSD 자료: WSTS, KDB대우증권 리서치센터 KDB Daewoo Securities Research 29

30 216 Outlook Report [반도체] Global Peer Analysis (1) 메모리 반도체 표 14. 글로벌 메모리 반도체 업체 Valuation 테이블 (십억원, %, 배) 시가총액 매출액 영업이익 순이익 ROE PER PBR EV/EBITDA 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 삼성전자 189,132 2, ,987 27,188 27,785 2,764 21, SK하이닉스 23,5 18,943 18,323 5,498 4,295 4,335 3, Micron 19,58 17,871 16,878 3,39 1,82 3,2 1, SanDisk 17,347 6,978 6,325 1,64 1, 1, Toshiba 12,5 6,269 6,573 1,128 2, Inotera 5,142 2,231 2, 난야 3,682 1,552 1, 메모리 평균 주: 커버리지 종목은 당사 추정치, 그 외는 Bloomberg 컨센서스 자료: Bloomberg, KDB대우증권 리서치센터 그림 62. SK하이닉스 영업이익 컨센서스 및 주가추이 (15F) 그림 63. 글로벌 메모리 업체 상대주가 (십억원) 7, 6,5 6, 215F OP 컨센서스 (L) 주가 (R) (원) 55, 5, (-1Y=1) 삼성전자 SK하이닉스 Micron 16 SanDisk Toshiba 난야 12 5,5 5, 45, 4, 8 4,5 4, 35, 4 3,5 3, 자료: Bloomberg, KDB대우증권 리서치센터 자료: Bloomberg, KDB대우증권 리서치센터 그림 64. 글로벌 메모리 업체 P/B-ROE 비교 (16F) 그림 65. 글로벌 메모리 업체 P/E-EPSG 비교 (16F) (P/B, x) 3. SanDisk (P/E, x) 25 SanDisk Micron SK하이닉스 Toshiba 1. 삼성전자 Inotera.5 (ROE, %) 자료: Bloomberg, KDB대우증권 리서치센터 15 Micron Toshiba Inotera 1 삼성전자 5 SK하이닉스 (EPSG, %) 자료: Bloomberg, KDB대우증권 리서치센터 3 KDB Daewoo Securities Research

31 216 Outlook Report [반도체] (2) 비메모리 반도체 표 15. 글로벌 비메모리 반도체 업체 Valuation 테이블 (십억원, %, 배) 시가총액 매출액 영업이익 순이익 ROE PER PBR EV/EBITDA 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 실리콘웍스 인텔 188,37 63,865 66,689 15,876 17,75 12,495 13, 퀄컴 84,515 28,14 26,88 6,429 8,538 5,867 6, TI 67,625 15,41 15,428 4,896 5,166 3,262 3, 브로드컴 38,532 9,796 1,133 2,9 2,241 1,643 1, 미디어텍 14,514 7,471 8, , ARM 27,328 1,713 1, 엔비디아 19,562 5,686 6,26 1,23 1, ST마이크로 7,68 7,952 8, AMD 2,133 4,596 4, 비메모리 평균 주: 커버리지 종목은 당사 추정치, 그 외는 Bloomberg 컨센서스 자료: Bloomberg, KDB대우증권 리서치센터 그림 66. 퀄컴 영업이익 컨센서스 및 주가추이 (15F) 그림 67. 글로벌 비메모리 업체 상대주가 (조원) F OP 컨센서스 (L) 주가 (R) (달러) (-1Y=1) 인텔 퀄컴 TI 미디어텍 ARM 실리콘웍스 자료: Bloomberg, KDB대우증권 리서치센터 자료: Bloomberg, KDB대우증권 리서치센터 그림 68. 글로벌 비메모리 업체 P/B-ROE 비교 (16F) 그림 69. 글로벌 비메모리 업체 P/E-EPSG 비교 (16F) (P/B, x) 1 (P/E, x) 35 ARM 8 ARM TI 6 4 엔비디아 인텔 2 ST마이크로 브로드컴 퀄컴 미디어텍 실리콘웍스 (ROE, %) 자료: Bloomberg, KDB대우증권 리서치센터 TI 퀄컴 ST마이크로 엔비디아 15 미디어텍 브로드컴 인텔 1 실리콘웍스 5 (EPSG, %) 자료: Bloomberg, KDB대우증권 리서치센터 KDB Daewoo Securities Research 31

32 216 Outlook Report [반도체] (3) 반도체/디스플레이 장비 표 16. 글로벌 반도체/디스플레이 장비 업체 Valuation 테이블 (십억원, %, 배) 시가총액 매출액 영업이익 순이익 ROE PER PBR EV/EBITDA 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 15F 16F 원익IPS 에스에프에이 한미반도체 유진테크 케이씨텍 ASML 46,279 7,712 8,237 1,924 2,141 1,672 1, AMAT 25,818 1,952 11,76 2,14 2,512 1,542 1, TEL 13,923 6,151 6, , Lam research 14,2 6,78 7,13 1,482 1,538 1,55 1, KLA-Tencor 11,984 3,285 3, , Hitachi Kokusai 1,799 1,76 1, Screen holdings 2,27 2,365 2, Daifuku 2,139 3,11 3, Ulvac 1,446 1,88 1, 장비 업체 평균 주: 커버리지 종목은 당사 추정치, 그 외는 Bloomberg 컨센서스 자료: Bloomberg, KDB대우증권 리서치센터 그림 7. 원익IPS 영업이익 컨센서스 및 주가추이 (15F) 그림 71. 글로벌 장비 업체 상대주가 (십억원) F OP 컨센서스 (L) 주가 (R) (원) 18, 16, 14, 12, (-1Y=1) 원익IPS 에스에프에이 한미반도체 ASML AMAT Lam research , 8, , 자료: Bloomberg, KDB대우증권 리서치센터 자료: Bloomberg, KDB대우증권 리서치센터 그림 72. 글로벌 장비 업체 P/B-ROE 비교 (16F) 그림 73. 글로벌 장비 업체 P/E-EPSG 비교 (16F) (P/B, x) 5. (P/E, x) 3 4. ASML 25 ASML 3. TEL AMAT 2. 에스에프에이 Lam research 1. 한미반도체 원익IPS 케이씨텍 유진테크 (ROE,%) 자료: Bloomberg, KDB대우증권 리서치센터 2 TEL 한미반도체 15 유진테크 에스에프에이 AMAT 1 Lam research 원익IPS 케이씨텍 5 (EPSG,%) 자료: Bloomberg, KDB대우증권 리서치센터 32 KDB Daewoo Securities Research

33 216 Outlook Report [반도체] V. 종목분석 삼성전자 (593/매수) 부품의 시대가 기다려진다 독보적인 반도체(3D NAND, 고성능 원칩 AP)와 OLED 기술력에 대한 재평가 필요 삼성전자에 대한 그룹 내 확고한 지배를 위해서 동사를 지주회사와 사업회사로 분할 가능성이 있다고 판단 단발성이 아닌 지속 가능한 주주환원 정책 실행 주주가치 제고에 따른 Re-rating 예상 SK하이닉스 (66/매수) 과거 하락 사이클과는 다르다 내년 DRAM 시장은 역성장하겠지만 제한적인 공급 증가로 과거의 하락 사이클처럼 적자 국면까지 가지 않고 연착륙 예상 공정 미세화가 어려워지면서 3D NAND, TLC를 활용한 원가절감 기술력이 메모리 업체들의 핵심 경쟁력으로 부상 재무구조가 개선되면서 지난해부터 배당을 재개했고 올해는 2,2만주(7,75억원)의 자사주 취득으로 주주가치 제고 실리콘웍스 (1832/매수) 패널 구동 IC 부족할 전망 중국 디스플레이 패널 업체들의 공격적인 증설과 신규 라인 가동으로 패널 구동에 필요한 Driver-IC 부족할 전망 사업 통합 효과로 LG그룹 내 스마트폰, TV 등 기존 제품의 Driver-IC, T-Con, PMIC 내재화 진행 LG그룹이 자동차 전장 사업을 신성장 동력으로 추진하고 있어 동사의 자동차용 IC 사업도 더욱 탄력 받을 전망 원익IPS (353/매수) 기업분할 전에 사두자 DRAM 투자는 축소되겠지만 NAND와 System LSI 투자 회복 전망 메모리/비메모리 반도체 증착 장비 외에도 디스플레이(LCD/OLED) 식각 장비, 특수 가스 등의 전방위적 수혜 가능 인적분할은 지주회사 전환을 위한 과정 인적분할 이후 기업가치 상승이 예상되므로 분할 전에 매수 전략 유효 에스에프에이 (5619/매수) 새로운 전환기를 맞은 OLED OLED 원가 경쟁력 증대로 중저가 스마트폰으로 확대 적용 중국향 외판 비중 3%까지 증가할 전망 217년부터 대면적 OLED TV와 foldable OLED 상용화 예상되며 내년부터 관련 투자 진행 예상 STS반도체 인수를 통한 장비와 패키징의 시너지 본격화 케이씨텍 (2946/매수) 반도체 공정 미세화의 수혜 다변화된 장비 라인업과 안정적인 소재 사업이 핵심 경쟁력 선폭 미세화가 어려워지면서 DPT, 3D NAND, FinFET 등의 기술들이 도입되고 있으며 CMP 공정 증가 안정적이고 수익성 높은 소재 사업의 성장 텅스텐 CMP 공정으로 확대 기대 유진테크 (8437/매수) 해외 거래선 확보로 새롭게 도약 SK하이닉스의 M14 투자 지속으로 안정적인 수주가 가능할 전망이며 내년부터 해외 신규 거래선 공급 기대 내년 3D NAND 투자 확대로 동사의 Plasma Treatment, ALD, SEG 장비 수혜 전망 다양한 장비를 갖추기 보다는 선택과 집중을 통해 글로벌 경쟁력을 갖춘 장비 라인업 확보 전략 한미반도체 (427/중립) 주가 회복을 위한 모멘텀 부족 지난해 대만과 중국 반도체 후공정(패키징 및 테스트) 업체들의 대규모 설비투자 완료로 투자 하향 조정 장기 성장 동력 확보를 위한 신규 장비인 FC-Bonder 매출 지연 올해 중국의 연간 스마트폰 판매량은 4.2억대로 1% 성장하는데 그칠 전망 LTE 전환 완료로 길어진 교체 주기 KDB Daewoo Securities Research 33

34 216 Outlook Report [반도체] 삼성전자 (593) 부품의 시대가 기다려진다 반도체 (Maintain) 매수 목표주가(원,12M) 1,7, 현재주가(15/11/3,원) 1,284, 상승여력 32% 영업이익(15F,십억원) 27,188 Consensus 영업이익(15F,십억원) 27,38 EPS 성장률(15F,%) -1. MKT EPS 성장률(15F,%) 22.7 P/E(15F,x) 1.5 MKT P/E(15F,x) 11.6 KOSPI 1, 시가총액(십억원) 189,132 발행주식수(백만주) 17 유동주식비율(%) 69.5 외국인 보유비중(%) 5.2 베타(12M) 일간수익률.81 52주 최저가(원) 1,67, 52주 최고가(원) 1,53, 주가상승률(%) 1개월 6개월 12개월 절대주가 상대주가 삼성전자 KOSPI 투자포인트: 부품 사업의 재평가 기대 1) 독보적인 반도체와 OLED 기술력에 대한 재평가 필요: 내년 DRAM 부문의 수익성 악화와 감익은 불가피할 전망이다. 하지만 DRAM 비중이 높은 경쟁 메모리 업체들과 달리 동사는 유일하게 3D NAND를 양산하고 있으며 내년에도 48단 비중 증가로 SSD 시장 지배력 확 대가 예상된다. System LSI는 커스텀코어 탑재와 고성능 LTE 모뎀을 원칩으로 구현한 Exynos 889 출시로 하이엔드 AP 시장에서 Qualcomm을 위협할 전망이다. 동시에 14nm FinFET 고객 기반 확대로 높은 가동률을 유지할 것으로 예상한다. OLED 패널은 원가개선 으로 향후 중저가 스마트폰으로 확대 및 해외 고객사 확보를 통해 실적 성장이 기대된다. 2) 기업 분할으로 기업가치 증대 가능: 삼성전자에 대한 그룹 내 확고한 지배를 위해서 동사 를 지주회사와 사업회사로 분할 가능성이 있다고 판단된다. 이런 경우 통상적으로 인적분할 전의 시가총액보다 인적분할 이후 지주회사와 자회사의 시가총액 합이 더 크게 형성된 사례 가 많았다. 이론적으로 기업가치의 변화는 없지만 기업 분할 이후 지주회사와 자회사의 역 할이 구분되면서 각각 독립경영과 전문성 강화로 기업가치 증대를 기대할 수 있다. 3) 지속 가능한 주주환원 정책 실행: 동사는 올해 단발성이 아닌 지속 가능한 주주환원 정책 을 발표해 실행하고 있다. 향후 3년간 연간 Free Cash Flow의 3~5%를 배당과 자기주 식 매입으로 활용하며 매입한 자사주는 전량 소각할 계획이다. 이와 별도로 11.3조원 규모 의 특별 자사주도 3~4회에 나누어 매입하여 소각할 예정이다. 1회차는 4.2조원으로 보통주 223만주(전체 주식의 1.5%), 우선주 125만 주(전체 주식의 5.4%)를 현재 매입하고 있다. 내년부터 분기배당도 지급할 것으로 예상되어 주주가치 제고에 따른 Re-rating이 기대된다. 16년 실적 전망: 매출액 212조원(+6% YoY), 영업이익 28조원(+2% YoY) 내년 반도체 부문 매출액은 55조원(+15% YoY), 영업이익 15조원(+9% YoY)으로 올해에 이어 사상 최대 규모의 실적이 예상된다. 3D NAND와 System LSI의 실적 개선이 동사의 성장을 견인할 전망이다. 내년 스마트폰 판매량은 3.1억대(-4% YoY)로 감소할 전망이다. 투자의견 매수, 목표주가 17만원 유지 삼성전자에 대한 투자의견 매수, 목표주가 17만원, 업종 내 최선호주로 유지한다. 내년 FCF는 18.3조원으로 주주환원 가능 금액은 5.5~9.2조원 수준으로 예상된다. 특별 자사주 까지 감안하면 1조원에 육박한다. 목표주가는 영업가치와 자산가치를 합산한 SOTP(Sum of the Parts)로 산정했으며 12M-fwd 기준 P/B 1.6배, P/E 기준 13배에 해당한다. 결산기 (12월) 12/12 12/13 12/14 12/15F 12/16F 12/17F 매출액 (십억원) 21,14 228,693 26,26 2, , ,42 영업이익 (십억원) 29,49 36,785 25,25 27,188 27,785 29,26 영업이익률 (%) 순이익 (십억원) 23,185 29,821 23,82 2,764 21,872 23,543 EPS (원) 136, , , ,44 128, ,378 ROE (%) P/E (배) P/B (배) 주: K-IFRS 연결 기준, 순이익은 지배주주 귀속 순이익 자료: 삼성전자, KDB대우증권 리서치센터 34 KDB Daewoo Securities Research

35 216 Outlook Report [반도체] 삼성전자 (593) 예상 포괄손익계산서 (요약) 예상 재무상태표 (요약) (십억원) 12/14 12/15F 12/16F 12/17F (십억원) 12/14 12/15F 12/16F 12/17F 매출액 26,26 2, , ,42 유동자산 115, , , ,535 매출원가 128, ,484 13, ,24 현금 및 현금성자산 16,841 26,322 38,2 51,38 매출총이익 77,927 78,453 81,35 82,216 매출채권 및 기타채권 28,234 28,71 29,95 31,162 판매비와관리비 52,92 51,266 53,521 53,1 재고자산 17,318 17,64 18,342 19,113 조정영업이익 25,25 27,188 27,785 29,26 기타유동자산 52,753 53,625 55,875 58,222 영업이익 25,25 27,188 27,785 29,26 비유동자산 115, , , ,268 비영업손익 2,85 1,365 1,937 2,82 관계기업투자등 5,232 5,319 5,542 5,775 금융손익 1,24 1,15 1,423 1,886 유형자산 8,873 87,388 92,597 98,62 관계기업등 투자손익 무형자산 4,785 4,961 3,992 3,213 세전계속사업손익 27,875 28,553 29,722 32,8 자산총계 23, ,35 268, ,84 계속사업법인세비용 4,481 7,154 7,133 7,682 유동부채 52,14 52,728 54,528 56,46 계속사업이익 23,394 21,399 22,589 24,326 매입채무 및 기타채무 18,233 18,535 19,312 2,123 중단사업이익 단기금융부채 9,88 9,824 9,824 9,824 당기순이익 23,394 21,399 22,589 24,326 기타유동부채 23,973 24,369 25,392 26,459 지배주주 23,82 2,764 21,872 23,543 비유동부채 1,321 1,499 1,877 11,272 비지배주주 장기금융부채 1,458 1,489 1,489 1,489 총포괄이익 21,41 21,143 22,589 24,326 기타비유동부채 8,863 9,1 9,388 9,783 지배주주 2,991 2,737 22,218 23,927 부채총계 62,335 63,227 65,45 67,678 비지배주주 지배주주지분 162, , , ,95 EBITDA 43,78 47,877 48,383 5,61 자본금 FCF 14,932 15,862 18,252 19,54 자본잉여금 4,44 4,44 4,44 4,44 EBITDA 마진율 (%) 이익잉여금 169,53 187,21 25,2 225,19 영업이익률 (%) 비지배주주지분 5,96 6,531 7,248 8,31 지배주주귀속 순이익률 (%) 자본총계 168,88 184,88 23, ,126 예상 현금흐름표 (요약) 예상 주당가치 및 valuation (요약) (십억원) 12/14 12/15F 12/16F 12/17F 12/14 12/15F 12/16F 12/17F 영업활동으로 인한 현금흐름 36,975 42,634 43,9 45,62 P/E (x) 당기순이익 23,394 21,399 22,589 24,326 P/CF (x) 비현금수익비용가감 22,324 26,799 26,38 27,191 P/B (x) 유형자산감가상각비 16,91 19,55 19,629 2,615 EV/EBITDA (x) 무형자산상각비 1,143 1, EPS (원) 135, ,44 128, ,378 기타 4,271 6,11 5,71 5,796 CFPS (원) 268,72 283, ,44 32,85 영업활동으로인한자산및부채의변동 -3, BPS (원) 1,2,811 1,15,193 1,21,988 1,327,477 매출채권 및 기타채권의 감소(증가) ,53-1,99 DPS (원) 2, 25, 27,335 32,284 재고자산 감소(증가) 배당성향 (%) 매입채무 및 기타채무의 증가(감소) 배당수익률 (%) 법인세납부 -7,493-6,644-7,133-7,682 매출액증가율 (%) 투자활동으로 인한 현금흐름 -32,86-28,342-27,296-28,645 EBITDA증가율 (%) 유형자산처분(취득) -21,657-26,622-24,838-26,8 조정영업이익증가율 (%) 무형자산감소(증가) -1, EPS증가율 (%) 장단기금융자산의 감소(증가) -13, ,458-2,565 매출채권 회전율 (회) 기타투자활동 3, 재고자산 회전율 (회) 재무활동으로 인한 현금흐름 -3,57-4,446-3,873-3,724 매입채무 회전율 (회) 장단기금융부채의 증가(감소) ROA (%) 자본의 증가(감소) ROE (%) 배당금의 지급 -2,234-3,74-3,873-3,724 ROIC (%) 기타재무활동 ,42 부채비율 (%) 현금의 증가 556 9,481 11,699 13,18 유동비율 (%) 기초현금 16,285 16,841 26,322 38,2 순차입금/자기자본 (%) 기말현금 16,841 26,322 38,2 51,38 조정영업이익/금융비용 (x) 자료: 삼성전자, KDB대우증권 리서치센터 KDB Daewoo Securities Research 35

0904fc52803e572c

0904fc52803e572c 212. 4. 24 기업분석 (5619/매수) 디스플레이 상반기 부진은 하반기에 보상된다 투자의견 매수 유지, 목표주가 7,원으로 13% 하향 조정 에 대한 투자의견을 매수로 유지하지만 목표주가는 7,원으로 13% 하향 조정한다. 목표주가를 하향 조정하는 이유는 삼성디스플레이와 SMD 합병에 따른 발주 지 연으로 12~13년 EPS를 각각 13%, 18% 하향

More information

Microsoft Word - 2016011217350042.docx

Microsoft Word - 2016011217350042.docx (000120) 운송 Company Report 2016.1.12 (Maintain) 매수 목표주가(원,12M) 260,000 현재주가(16/01/12,원) 200,000 상승여력 30% 영업이익(,십억원) 199 Consensus 영업이익(,십억원) 209 EPS 성장률(,%) -16.0 MKT EPS 성장률(,%) 21.4 P/E(,x) 90.6 MKT

More information

통신장비/전자부품

통신장비/전자부품 통신장비/전자부품 (비중 확대/Maintain) 단말기/부품 Issue Comment 215.6.29 삼성전자 2Q15 스마트폰 추정 판매수량 8천4백만대에서 7천5백만대로 1.7% 하향 수량 감소 영향으로 부품 업체 옥석 가리기 가속화 전망 무선충전/삼성페이 효과가 큰 아모텍에 주목 필요 [통신장비/전자부품] 박원재 2-768-3372 william.park@dwsec.com

More information

0904fc52803f4757

0904fc52803f4757 212. 5. 16 기업분석 덕산하이메탈 (7736/매수) 전자재료 잘나가는 OLED와 스마트폰의 중심에 서다 1Q12 Review: 수익성 측면에서 돋보였던 1분기 조우형 2-768-436 will.cho@dwsec.com 덕산하이메탈의 1분기 매출액은 325억원(+39.6% YoY), 영업이익은 92억원(+62.2% YoY, OPM 28.2%)으로 비수기임에도

More information

0904fc52803dc24f

0904fc52803dc24f 212. 4. 6 기업분석 덕산하이메탈 (7736/매수) 전자재료 OLED 대표 브랜드 세일 기간입니다 투자의견 매수, 목표주가 4,원 유지 조우형 2-768-436 will.cho@dwsec.com 덕산하이메탈에 대한 투자의견 매수, 목표주가 4,원을 유지한다. 1분기 실적 둔화, SMD OLED 라인 투자 지연 우려, 신규 라인 부재로 인한 OLED 모멘텀

More information

Microsoft Word - 2015030419435926.docx

Microsoft Word - 2015030419435926.docx (041510) 엔터테인먼트 Results Comment 2015.3.5 (Maintain) 매수 목표주가(원,12M) 46,000 현재주가(15/03/04,원) 34,900 상승여력 32% 영업이익(14P,십억원) 34 Consensus 영업이익(14,십억원) 39 EPS 성장률(14P,%) -89.8 MKT EPS 성장률(14F,%) -2.0 P/E(14P,x)

More information

표 1. LG화학의 분기별 실적 추정 (십억원,%,%p,달러/배럴,원/달러) 213F 214F 1Q13 증감률 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q QoQ YoY 212 213F 214F 매출액 전체 5,72.6 5,975.2 6,21. 6,572.1 6,13.4

표 1. LG화학의 분기별 실적 추정 (십억원,%,%p,달러/배럴,원/달러) 213F 214F 1Q13 증감률 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q QoQ YoY 212 213F 214F 매출액 전체 5,72.6 5,975.2 6,21. 6,572.1 6,13.4 (5191) 화학 Company Report 213. 4. 22 (Maintain) 매수 1Q Review: 영업이익 4,89억원으로 최근 낮아진 컨센서스 수준 기록 LG화학의 1분기 영업이익은 4,89억원으로 최근 낮아진 컨센서스 수준을 기록하였다. 화 학 부문 영업이익은 제품 가격 상승 및 마진 개선으로 전분기대비 1% 증가하였고 정보소 재 부문은 엔화

More information

0904fc528054624b

0904fc528054624b (518) 214년 1Q가 매수 시기 음식료 Company Update 213. 11. 11 (Maintain) Trading Buy 213년 3Q 매출, 이익 모두 부진 빙그레는 213년 3Q에 매출액 정체, 영업이익 16% 정도 감소할 전망이다. 실적 부진은 아 이스크림 판매 부진과 우유가격 인상 지연 때문이다. 아이스크림(매출비중 38%) 부진은 여 름철

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

실적 전망 4분기 실적 역시 시장 기대치 상단으로 판단 4분기에도 영업이익 7조원 이상의 양호한 실적 예상 충당금 제외 시 7.9조원 213년 연간 영업이익 31.9조원(+15.2% YoY) 예상 삼성전자의 4Q12 실적은 매출액 54.4조원(+4.5% QoQ, +14

실적 전망 4분기 실적 역시 시장 기대치 상단으로 판단 4분기에도 영업이익 7조원 이상의 양호한 실적 예상 충당금 제외 시 7.9조원 213년 연간 영업이익 31.9조원(+15.2% YoY) 예상 삼성전자의 4Q12 실적은 매출액 54.4조원(+4.5% QoQ, +14 212. 1. 8 기업분석 삼성전자 (593/매수) 반도체 4분기 실적도 희망적이다! What s New: 시장의 기대치를 뛰어넘은 3분기 실적 송종호 2-768-3722 james.song@dwsec.com 삼성전자의 3분기 실적은 시장 컨센서스(영업이익 7.6조원)를 뛰어넘는 Earnings Surprise 로 나타났다. 3Q12 잠정 실적은 매출액 52.조원(+9.3%,

More information

Microsoft Word - 4QReview_KAI_국문_Full.docx

Microsoft Word - 4QReview_KAI_국문_Full.docx (4781) 항공기산업 Results Comment 216.1.29 (Maintain) 매수 목표주가(원,12M) 1, 현재주가(16/1/28,원) 7,1 상승여력 4% 영업이익(15F,십억원) 286 Consensus 영업이익(15F,십억원) EPS 성장률(15F,%) 62.6 MKT EPS 성장률(15F,%) 2.1 P/E(15F,x) 42.1 MKT P/E(15F,x)

More information

Microsoft Word - 2016042709372936.docx

Microsoft Word - 2016042709372936.docx (032640) 유무선통신 Results Comment 2016.4.28 (Maintain) 매수 목표주가(원,12M) 14,000 현재주가(16/04/27,원) 11,100 상승여력 26% 영업이익(,십억원) 685 Consensus 영업이익(,십억원) 686 EPS 성장률(,%) 19.5 MKT EPS 성장률(,%) 14.3 P/E(,x) 11.5 MKT

More information

Microsoft Word - 140828_에스에프에이_2Q14_Review_v15.docx

Microsoft Word - 140828_에스에프에이_2Q14_Review_v15.docx (5619) LCD/반도체 장비 Results Comment 214.8.28 (Maintain) 매수 목표주가(원,12M) 6, 현재주가(14/8/27,원) 44, 상승여력 36% 영업이익(14F,십억원) 54 Consensus 영업이익(14F,십억원) 56 EPS 성장률(14F,%) -17.8 MKT EPS 성장률(14F,%) 13.3 P/E(14F,x)

More information

Microsoft Word - 130326_삼성SDI_1Q13 Preview_v21_수정_.doc

Microsoft Word - 130326_삼성SDI_1Q13 Preview_v21_수정_.doc (64) 디스플레이 Company Report 213. 3. 26 (Maintain) 매수 What s new? 시장의 두 가지 오해와 진실 동사의 주가는 연초대비 7% 하락했다. 국내 대형 IT 업체 중에서 가장 부진한 주가 흐름을 기록하고 있다. 시장의 우려는 1) 엔화 약세에 따른 가격 경쟁 심화, 일본 전지 업체들의 점유율 회복, 2) Apple ipad

More information

0904fc5280257b90

0904fc5280257b90 02-768-3722 james.song@dwsec.com 02-768-4168 will.lee@dwsec.com Valuation 저평가 + 사상 최대 실적 + 재무 구조 개선의 3박자 2분기 OP 1.05조원, 연간 OP 4.1조원의 사상 최대 실적 예상 메모리 시장과 하이닉스를 확신하는 이유 중장기 Level up의 변곡점! I. Valuation 및

More information

Microsoft Word - 1125_[2016 OUTLOOK] 미디어 광고 (비중확대).docx

Microsoft Word - 1125_[2016 OUTLOOK] 미디어 광고 (비중확대).docx 제일기획 (030000) 중국 Pengtai를 아십니까 미디어 (Maintain) 매수 목표주가(원,12M) 30,000 현재주가(15/11/24,원) 20,250 상승여력 48% 영업이익(15F,십억원) 138 Consensus 영업이익(15F,십억원) 138 EPS 성장률(15F,%) 4.3 MKT EPS 성장률(15F,%) 22.3 P/E(15F,x)

More information

Valuation 및 투자의견 목표주가 1,9,원(13F P/B.1배)으로 상향 표 1. 삼성전자 P/B Valuation 13F 주가 1,33, A BPS 911,139 B PBR 1.46 C=A/B ROE.4% D K 값 15.3% E=D/C 무위험수익률(국고채3년

Valuation 및 투자의견 목표주가 1,9,원(13F P/B.1배)으로 상향 표 1. 삼성전자 P/B Valuation 13F 주가 1,33, A BPS 911,139 B PBR 1.46 C=A/B ROE.4% D K 값 15.3% E=D/C 무위험수익률(국고채3년 1. 11. 1 기업분석 삼성전자 (593/매수) 반도체 새로운 성장의 역사를 쓴다! What s New: 4Q1 예상 영업이익 8.3조원으로 상향 송종호 -768-37 james.song@dwsec.com 삼성전자의 4분기 예상 실적을 상향 조정한다. 4Q1 실적은 매출액 58.조원(+11.% QoQ, +.7% YoY), 영업이익 8.3조원(+.5% QoQ,

More information

Microsoft Word docx

Microsoft Word docx 삼성전자 (593) 상반기는세트, 하반기는부품 반도체 Company Report 216.7.29 (Maintain) 매수 목표주가 ( 원,12M) 1,9, 현재주가 (16/7/28, 원 ) 1,57, 상승여력 26% 영업이익 (, 십억원 ) 29,998 Consensus 영업이익 (, 십억원 ) 29,536 EPS 성장률 (,%) 35.1 MKT EPS 성장률

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

Microsoft Word - 130207 SK C&C_표3 수정.doc

Microsoft Word - 130207 SK C&C_표3 수정.doc 213. 2. 7 기업분석 (3473/매수) IT서비스 실적개선과 함께 지분가치 반영 예상 4분기 Review: 영업이익 69억원(+27.8% YoY), 영업이익률 1.5%(+.8%p YoY) 의 4분기 실적은 영업수익 6,581억원, 영업이익 69억원으로 전년동기대비 각각 17.6%, 27.8% 증가하며, 당사 및 시장 예상치를 소폭 하회하였다. 경기둔화로

More information

Microsoft Word docx

Microsoft Word docx 삼성전자 (005930) 미미했던갤럭시 S6 효과 반도체 Results Comment 2015.7.31 (Maintain) 매수 목표주가 ( 원,12M) 1,700,000 현재주가 (15/07/30, 원 ) 1,215,000 상승여력 40% 영업이익 (, 십억원 ) 27,202 Consensus 영업이익 (, 십억원 ) 0 EPS 성장률 (,%) -6.9 MKT

More information

Microsoft Word - 151013_Lg상사_full.docx

Microsoft Word - 151013_Lg상사_full.docx (112) 종합상사 Company Report 215.1.13 (Maintain) 매수 목표주가(원,12M), 현재주가(15/1/12,원) 32, 상승여력 53% 영업이익(15F,십억원) 142 Consensus 영업이익(15F,십억원) 147 EPS 성장률(15F,%) - MKT EPS 성장률(15F,%) 23.4 P/E(15F,x) 29.9 MKT P/E(15F,x)

More information

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx) 산업분석 반도체/디스플레이 이베스트투자증권 어규진입니다. 작년부터 반도체/디스플레이 업황이 뜨겁습니다. Gate 가 부족하기 때문이죠. 반도체와 디스플레이의 수급이 타이트하다는 의미입니다. 과거 반도체/디스플레이 1 차 업황호조가 공격적인 투자집행에 따른 대규모 라인증설 때문이었다면, 금번 2 차 업황호조는 대규모 투자에 따른 과다경쟁 없이도 공정의 미세화,

More information

Microsoft Word - 2015062506574095.docx

Microsoft Word - 2015062506574095.docx (88) 지주 Company Report 215.6.25 (Maintain) 매수 목표주가(원,12M) 6, 현재주가(15/6/24,원) 48,5 상승여력 25% 영업이익(15F,십억원) 1,219 Consensus 영업이익(15F,십억원) 1,52 EPS 성장률(15F,%) 149.4 MKT EPS 성장률(15F,%) 38.1 P/E(15F,x) 2.1 MKT

More information

Microsoft Word docx

Microsoft Word docx 케이씨텍 (2946) 안정적인사업구조가빛을발할시기 반도체장비 Results Comment 21.8.18 (Maintain) 매수 목표주가 ( 원,12M) 17, 현재주가 (1/8/17, 원 ) 11,4 상승여력 4% 영업이익 (1F, 십억원 ) 39 Consensus 영업이익 (1F, 십억원 ) 42 EPS 성장률 (1F,%) 2.4 MKT EPS 성장률 (1F,%)

More information

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final Apr 4, 216 Part 1 #1 반도체산업 IT Convergence vs Divergence [반도체] 최도연 3771-977 doyeon@iprovest.com [IDEA] IT Divergence 시대에서의 반도체 산업 전망 [업황] 메모리 반도체는 공급 초과. 비메모리는 재고 상당량 해소 [섹터뷰] 향후 반도체 수요 방향성 - DRAM

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

Microsoft Word - 2016031500060919.docx

Microsoft Word - 2016031500060919.docx (13948) 대형할인점 Company Update 216.3.15 (Maintain) 매수 목표주가(원,12M) 23, 현재주가(16/3/14,원) 179, 상승여력 28% 영업이익(16F,십억원) 599 Consensus 영업이익(16F,십억원) 583 EPS 성장률(16F,%) -15.4 MKT EPS 성장률(16F,%) -.2 P/E(16F,x) 13.

More information

Microsoft Word docx

Microsoft Word docx SK 하이닉스 (66) 하반기완만한개선 반도체 Company Report 216.7.27 (Maintain) 매수 목표주가 ( 원,12M) 39, 현재주가 (16/7/26, 원 ) 32,5 상승여력 22% 영업이익 (, 십억원 ) 2,14 Consensus 영업이익 (, 십억원 ) 2,99 EPS 성장률 (,%) -64.7 MKT EPS 성장률 (,%) 18.4

More information

0904fc528042ad1f

0904fc528042ad1f 212. 8. 1 기업분석 CJ E&M (1396/Trading Buy) 미디어 아직은 방송 부문만 슈퍼스타 투자의견 Trading Buy 유지, 목표주가는 28,원으로 햐항 조정 문지현 2-768-3615 jeehyun.moon@dwsec.com CJ E&M에 대한 투자의견 Trading Buy 를 유지하나, 목표주가는 기존 3,원에서 28,원으 로 다소

More information

Microsoft Word docx

Microsoft Word docx 216 OUTLOOK 215.12.1 반도체 ( 비중확대 ) Soft Landing 황준호 2-768-414 j.hwang@dwsec.com 반도체/장비 Summary Soft Landing 이번 하락 사이클 이후에는 부품의 시대가 열린다 216년 DRAM 시장은 올해 대비 3% 감소한 458억달러(52조원)를 예상한다. 4년만의 역성장이다. 반면 NAND

More information

Microsoft Word - 111207_유통_최종.doc

Microsoft Word - 111207_유통_최종.doc 증권업계 최고 신용등급 AA+로 상향 211년 11월 한기평, 한신평, 나신평 기준 212 Outlook Report 211. 12. 7 유통 비중확대 Analyst 김민아 2-768-4163 mina.kim@dwsec.com 이지은 2-768-326 jieun.lee@dwsec.com 212년 경기 하강기, 구조적 성장에 주목 212년 국내 소비는 악화되는

More information

0904fc5280497d85

0904fc5280497d85 213. 2. 1 기업분석 빙그레 (518/Trading Buy) 음식료 213년에도 수출은 급성장한다 212년 4분기 실적, 실제 질적으로는 양호 빙그레는 4분기 매출액 1,466억원(YoY +4.3%), 영업이익 -59억원(YoY 적지), 순이익 -73억원(YoY 적지)로 컨센서스를 하회했다. 매출액은 12월 기온이 낮아지면서 아이스크 림과 우유의 판매량이

More information

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc 212-4-18 In-Depth Report, 12-5 고영 (9846) 성장성에대한기대감재부각시점 매수 ( 신규편입 ) T.P 35, 원 ( 신규편입 ) Analyst 강문성 / 스몰캡 mskang74@sk.com +82-3773-9269 Company Data 자본금 43 억원 발행주식수 866 만주 자사주 1 만주 액면가 5 원 시가총액 2,289 억원

More information

Microsoft Word docx

Microsoft Word docx 유진테크 (084370) 반도체업황개선으로투자확대전망 반도체장비 Company Report 2016.8.3 (Maintain) 매수 목표주가 ( 원,12M) 25,000 현재주가 (16/08/02, 원 ) 19,400 상승여력 29% 영업이익 (16F, 십억원 ) 40 Consensus 영업이익 (16F, 십억원 ) 35 EPS 성장률 (16F,%) 59.7

More information

0904fc52803b94c1

0904fc52803b94c1 212. 2. 1 기업분석 (64/매수) 지나친 우려감으로 소외 받은 주가 투자의견 매수, 목표주가 18,원으로 유지 에 대한 투자의견 매수, 목표주가 18,원을 유지한다. 최근 와 Bosch 의 협력 관계가 약화되는 것을 우려하는 시각이 있다. 그러나 이는 SBL(Samsung Bosch LiMotive)의 대표이사 변경 검토 과정에서 발생한 지나친 우려감으로

More information

Microsoft Word - 20160525172217103.doc

Microsoft Word - 20160525172217103.doc 216년 5월 26일 산업분석 디스플레이 Overweight (유지) 실적 턴어라운드 기대 LCD 구조조정, 하반기 수급 및 가격에 긍정적 디스플레이,가전,휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 하반기 글로벌 디스플레이 산업은 한국 패널업체의 LCD

More information

Microsoft Word - #인쇄_[2016 OUTLOOK] 유통 (비중확대).docx

Microsoft Word - #인쇄_[2016 OUTLOOK] 유통 (비중확대).docx 216 OUTLOOK 215.11.26 유통 (비중확대) 이준기 2-768-3297 aiden.lee@dwsec.com 유통 Summary 유통업체, 온라인과 PB에 꽂혔다 유통산업 부진 지속 215년 역시 유통업체들은 힘겨운 한 해를 보냈다. 백화점 경기는 211년 이후 구조적인 하락세가 지속되고 있다. 대형마트와 기업형 슈퍼마켓은 212년부터 영업규제 영향으로

More information

0904fc528042ccbf

0904fc528042ccbf 212. 8. 13 기업분석 (5619/ 매수 ) 디스플레이 하반기신규스마트폰의핵심차별화요소는 flexible OLED 투자의견매수유지, 목표주가 6, 원으로 14% 하향조정에대한투자의견매수를유지하지만목표주가는 6, 원으로 14% 하향조 정한다. 목표주가를하향조정하는이유는 1) 글로벌경기둔화와고객사의투자지연으로연간수주금액을 8,347 억원에서 7,88 억원으로

More information

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3,

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3, 삼성전자 005930 Jul 18, 2012 Buy [유지] TP 1,850,000원 [유지] Company Data 현재가(07/17) 1,168,000 원 액면가(원) 5,000 원 52 주 최고가(보통주) 1,410,000 원 52 주 최저가(보통주) 680,000 원 KOSPI (07/17) 1,821.96p KOSDAQ (07/17) 480.61p

More information

Microsoft Word - HMC_Company_Note_Petasys_130128.doc

Microsoft Word - HMC_Company_Note_Petasys_130128.doc Company Note 213. 1. 28 이수페타시스(766) BUY / TP 8,원 스마트폰 PCB 업체로 재평가 필요 현재주가 (1/25) 상승여력 시가총액 발행주식수 자본금/액면가 52주 최고가/최저가 일평균 거래대금 (6일) Analyst 김상표 2) 3787-259 spkim@hmcib.com Analyst 노근창 2) 3787-231 greg@hmcib.com

More information

Microsoft Word docx

Microsoft Word docx SK 하이닉스 (66) 싸도너무싸다 반도체 Company Update 215.3.16 (Maintain) 매수 목표주가 ( 원,12M) 64, 현재주가 (15/3/13, 원 ) 44,1 상승여력 45% 영업이익 (15F, 십억원 ) 5,839 Consensus 영업이익 (15F, 십억원 ) 6,1 EPS 성장률 (15F,%) 8.8 MKT EPS 성장률 (15F,%)

More information

0904fc52803f43db

0904fc52803f43db 212. 5. 16 기업분석 (1832/ 매수 ) 디스플레이 올해가작년과다른이유 3가지투자의견매수, 목표주가 4, 원유지의투자의견매수, 목표주가 4, 원을유지한다. 동사의주가는최근일주일사이에 2%, 올해고점 (2/28) 대비 38% 하락했다. 올해는작년의주가급락이재연되지 않을것으로전망한다. 그이유는 1) 2분기실적이크게개선될것으로예상하며, 2) 최대고객사인

More information

Microsoft Word docx

Microsoft Word docx (13) 반도체 Company Report 1.3.9 (Maintain) 매수 목표주가 ( 원,1M), 현재주가 (1/3/, 원 ) 9,95 상승여력 % 영업이익 (15F, 십억원 ) 5 Consensus 영업이익 (15F, 십억원 ) 59 EPS 성장률 (15F,%) 5. MKT EPS 성장률 (15F,%). P/E(15F,x) 1. MKT P/E(15F,x)

More information

Microsoft Word

Microsoft Word 삼성전자 (005930) KB RESEARCH 2017년 4월 7일 1분기 잠정실적: 3년 만의 최대 실적 1분기 영업이익 9.9조원, 컨센서스 상회 2분기 추정 영업이익 12.5조원, 전년대비 54% 증가 IT Analyst 김동원 실적 업사이드 충분, 목표주가 270만원 유지 02-6114-2913 jeff.kim@kbfg.com RA 류진영 02-6114-2964

More information

Microsoft Word docx

Microsoft Word docx 실리콘웍스 (1832) 실적서프라이즈 + 주가하락 = 매수기회 디스플레이부품 Results Comment 218.1.3 투자의견 ( 유지 ) 목표주가 (12M, 하향 ) 현재주가 (18/1/29) 매수 51, 원 34,3 원 상승여력 49% 영업이익 (18F, 십억원 ) 56 Consensus 영업이익 (18F, 십억원 ) 52 EPS 성장률 (18F,%)

More information

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29>

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29> 2018 년 5 월 16 일 삼영전자 (005680) 기업분석 Mid-Small Cap 1Q18 Review: 영업이익 +26.7 Analyst 정홍식 02 3779 8688 hsjeong@ebestsec.co.kr 1Q18 Review: Sales +14.2, OP +26.7 동사의 1Q18 실적은매출액 611 억원 (+14.2% yoy), 영업이익 26

More information

Microsoft Word - I001_UNIT_ _ doc

Microsoft Word - I001_UNIT_ _ doc 2013-7-10 인터넷 / 게임 2Q13 Preview: 성장스토리유효 비중확대 ( 유지 ) Analyst 최관순 ks1.choi@sk.com +82-3773-8812 2Q13: 시장기대치소폭하회 인터넷포털 : 1 위사업자영향력강화 게임 : 해외성과에대한프리미엄 업종및투자포인트 구분투자의견 / 목표주가투자포인트 인터넷 / 게임 비중확대 NHN 매수 / 350,000원

More information

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD Research Center 메모리반도체 철강산업1 TFTLCD 철강산업2 유통산업 휴대폰산업 1 유틸리티 산업 휴대폰산업 2 자동차 산업 21 정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의

More information

0904fc52803f1560

0904fc52803f1560 212. 5. 14 기업분석 (5619/ 매수 ) 디스플레이 Flexible OLED 와 OLED TV의본격적인수혜예상투자의견매수, 목표주가 7, 원유지에대한투자의견매수, 목표주가 7, 원을유지한다. 지난분기 LCD 투자감소와 OLED 수주공백으로신규수주가크게감소하면서부진한주가흐름이지속되었다. 그러나최근삼성전자가갤럭시S3를출시하고 OLED TV 양산모델을공개하면서

More information

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc SK하이닉스 (66) 212. 9. 19 기업분석 Analyst 안성호 2. 3772-7475 shan@hanwha.com RA. 고정우 2. 3772-7466 jungwoo.ko@hanwha.com Buy(maintain) 목표주가: 31,원(유지) 주가(9/18): 22,85원 Stock Data KOSPI(9/18) 2,4.96pt 시가총액 158,67억원

More information

올해 2차전지 영업이익 전년대비 42% 증가할 전망 연간 지분법 이익 4,7억원 는 올해 매출액 5.8조원(YoY +6%), 영업이익 3,44억원(YoY +69%)을 기록할 전망이다. 올해 2차전지 매출액이 전년대비 2% 증가하고, PDP와 CRT 매출액은 각각 16%

올해 2차전지 영업이익 전년대비 42% 증가할 전망 연간 지분법 이익 4,7억원 는 올해 매출액 5.8조원(YoY +6%), 영업이익 3,44억원(YoY +69%)을 기록할 전망이다. 올해 2차전지 매출액이 전년대비 2% 증가하고, PDP와 CRT 매출액은 각각 16% 212. 4. 3 기업분석 (64/매수) 디스플레이 어닝 서프라이즈에 불확실성 해소까지.. 투자의견 매수 유지, 목표주가는 21만원으로 17% 상향 조정 에 대한 투자의견 매수를 유지하고 목표주가를 21만원으로 17% 상향 조정한다. 목표주가를 조정하는 이유는 1) 2차전지와 SMD 지분법 이익을 상향 조정했고 2) 삼성디스플레이 와 SMD 합병 비율이 결정되어

More information

(Microsoft Word - SK hynix_0620 _\274\366\301\244_)

(Microsoft Word - SK hynix_0620 _\274\366\301\244_) 218 년 6 월 21 일 I Equity Research 우려에도호실적지속 2Q18 Preview: 영업이익 5.2조원으로상향 SK하이닉스의 18년 2분기매출액은 1.14 조원 (YoY +52%, QoQ +16%), 영업이익 5.2조원 (YoY +71%, QoQ +19%) 으로전망한다. 하나금융투자는 SK하이닉스의 18년 2분기 DRAM bit 출하가이던스

More information

Microsoft Word - LG생명과학1301-F.doc

Microsoft Word - LG생명과학1301-F.doc 213. 1. 4 기업분석 (6887/매수) 제약 성장의 두 축, 당뇨치료신약과 Hib 백신 투자의견 매수, 목표주가 65,원 상향 조정 12개월 목표주가 65,원으로 상향 조정하며 매수의견을 유지한다. 상향 근거는 당뇨치 료신약 출시, 다국적 제약사인 Sanofi와의 글로벌 판권 계약에 따른 해외진출 확대로 영업 가치가 조정되었기 때문이다. 동사에 대한 투자

More information

Microsoft Word docx

Microsoft Word docx (593) 반도체 Company Report 217.3.2 (Downgrade) Trading Buy 삼성전자의 1Q17 실적은매출액 49. 조원 (-8% QoQ), 영업이익 8.7조원 (-5% QoQ) 을기록할것으로예상한다. 영업이익은블룸버그컨센서스 8.6조원을상회할것으로전망한다부문별영업이익은반도체부문 5.1조원 (+3% QoQ), 디스플레이부문 1.2 조원

More information

Contents 1. 8월 유망 Issue & Theme Issue I. 불황없는눈( 眼 ) 산업... 3 휴비츠 (6551/Not Rated) 삼영무역 (281/Not Rated) Issue II. 언제 어디서나 스마트하게 일한다! 인프라웨어 (412/Not Rate

Contents 1. 8월 유망 Issue & Theme Issue I. 불황없는눈( 眼 ) 산업... 3 휴비츠 (6551/Not Rated) 삼영무역 (281/Not Rated) Issue II. 언제 어디서나 스마트하게 일한다! 인프라웨어 (412/Not Rate 212.8 KDB대우증권 Small-cap 나침반 Analyst 이규선 (2)768-371 gyusun.lee@dwsec.com Analyst 박승현 (2)768-4194 seunghyeon.park@dwsec.com Analyst 서승우 (2)768-413 seungwoo.seo@dwsec.com Analyst 이왕섭 (2)768-4168 will.lee@dwsec.com

More information

IT, 한국의 미래다! IT, 한국의 미래다! 박원재 2-768-3372 william.park@dwsec.com 인터넷/스마트폰 시대, 대한민국 IT의 도약 황준호 2-768-414 j.hwang@dwsec.com 국으로 주도권이 넘어왔고, 디지털 혁명기에는 다시 아

IT, 한국의 미래다! IT, 한국의 미래다! 박원재 2-768-3372 william.park@dwsec.com 인터넷/스마트폰 시대, 대한민국 IT의 도약 황준호 2-768-414 j.hwang@dwsec.com 국으로 주도권이 넘어왔고, 디지털 혁명기에는 다시 아 C O N T E N T S Prologue..2 산업혁명과 디지털 혁명.3 디지털 혁명 속의 대한민국 IT..6 I. 초연결사회 1) 하드웨어 / 디바이스 차세대 메모리 15 차세대 디스플레이 32 웨어러블 컴퓨터(Wearable Computer) 52 사물인터넷(Internet of Things) 66 2) 소프트웨어 / 네트워크 클라우드 8 모바일 결제

More information

0904fc5280444db5

0904fc5280444db5 212. 9. 2 기업분석 미래나노텍 (9/매수) 디스플레이 PC 시장 침체에도 빛나는 터치스크린 투자의견 매수 유지, 목표주가 13,원으로 3% 상향 조정 미래나노텍의 투자의견을 매수로 유지하고 목표주가는 13,원으로 3% 상향 조정한다. 적정주가를 상향 조정한 이유는 신규 사업의 가시성 증가로 12~13F EPS를 각각 18%, 28% 상향 조정했기 때문이다.

More information

2019 년 3 월 14 일 SK 하이닉스 (000660) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 Buy (maintain) 목표주가 현재주가 컨센서스대비 상회

2019 년 3 월 14 일 SK 하이닉스 (000660) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 Buy (maintain) 목표주가 현재주가 컨센서스대비 상회 219 년 3 월 14 일 SK 하이닉스 (66) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 2 3779 8425 kjsyndrome@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 상회 부합 하회 Stock Data KOSPI(3/13) 시가총액 발행주식수 2,494.49 pt 655,22

More information

0904fc d3a

0904fc d3a 212. 8. 2 기업분석 (8393/ 매수 ) 디스플레이 꽁꽁얼었던투자, 3분기부터풀린다투자의견매수, 목표주가 9, 원유지에대한투자의견매수, 목표주가 9, 원을유지한다. 최근글로벌경기리스크가다시부각되면서기업들의투자위축우려로국내장비업체들의주가는크게하락했다. 현주가는 12M-fwd P/E 9.1 배수준이며하반기국내패널업체들의투자가점차재개될것으로예상하기때문에추가적인주가하락보다는점진적인회복이예상된다.

More information

0904fc52804fd7c2

0904fc52804fd7c2 (3473) IT 서비스 Company Report 213. 7. 18 (Maintain) 매수 2분기 Preview: 영업이익 494 억원 (+5.4% YoY) 로컨센서스부합예상 의 2분기매출액과영업이익은 5,548 억원 (+3.6% YoY), 494 억원 (+5.4% YoY) 으로시장컨센서스에부합하는양호한실적을시현할것으로예상된다. 동사의 2분기영업이익률은전년동기대비.2%p

More information

Microsoft Word - 산업분석리포트2008110717020200.doc

Microsoft Word - 산업분석리포트2008110717020200.doc 산업분석리포트 28.11.1 넷북 - PC 산업의 새로운 트렌드 Analyst 김현중 377-3562 guswnd@myasset.com 새로운 고객 세그먼트의 확대로 29년 본격적인 시장 성장 예상 넷북이란 인텔에서 제안한 저가형 서브 PC 의 개념. 작고, 가볍고, 저전력이며 인터넷, 워드프로 세서와 같은 기본적인 프로그램만을 가동시키는데 최적화된 PC 를

More information

Microsoft Word - 서울반도체_2doc.doc

Microsoft Word - 서울반도체_2doc.doc (4689) 달리기시작하는조명시장. 달리는말에올라타자 전자부품 Results Comment 213. 5. 15 (Maintain) 매수 목표주가 ( 원, 12M) 41, 현재주가 (13/5/14, 원 ) 33,55 상승여력 22% 영업이익 (13F, 십억원 ) 7 Consensus 영업이익 (13F, 십억원 ) 67 EPS 성장률 (13F,%) 462.2 MKT

More information

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\))

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\)) 218 년 5 월 8 일 퍼시스 (168) 기업분석 Mid-Small Cap 안정적인현금흐름 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 42, 원 3,6 원 상회부합하회 Stock Data KOSPI (5/4) 시가총액 발행주식수 2,461.38pt 3,519

More information

I. 투자전략 및 Valuation...3 1. 투자의견 비중확대, Top Picks는 덕산하이메탈, 제일모직... 3 2. 중장기 성장성과 단기 실적 모멘텀 모두 필요하다... 4 II. OLED 재료...6 1. SMD A3라인 투자의 함의는?... 6 2. OLE

I. 투자전략 및 Valuation...3 1. 투자의견 비중확대, Top Picks는 덕산하이메탈, 제일모직... 3 2. 중장기 성장성과 단기 실적 모멘텀 모두 필요하다... 4 II. OLED 재료...6 1. SMD A3라인 투자의 함의는?... 6 2. OLE 212 하반기 Outlook Report 212. 6. 22 전자재료 비중확대 Analyst 조우형 2-768-436 will.cho@dwsec.com 구조적 성장이 답이다 전자재료 업종은 전방 산업에 따라 차별적인 투자 전략이 필요하다. 특히 OLED, 2차 전지 같은 중장기 성장성이 높은 산업과 맞물려 구조적으로 성장하는 전자재료 업체에 주목해야 한다.

More information

Microsoft Word docx

Microsoft Word docx SK 하이닉스 (66) 감산주도성장 반도체 Company Report 219.7.26 투자의견 ( 상향 ) 매수 투자의견 매수 로상향. 감산에의한실적개선기대 SK하이닉스에대한투자의견을 중립 에서 매수 로상향한다. 목표주가는 1,원이다. 12 개월선행 BPS 69,679원에 Target P/B 1.4배를적용했다. 업황회복기의 12개월선행 P/B 평균인 1.2배에

More information

(Microsoft Word - \261\342\276\367\272\320\274\256_KT&G_170728_FINAL)

(Microsoft Word - \261\342\276\367\272\320\274\256_KT&G_170728_FINAL) 2017 년 7 월 28 일 KT&G (033780) 기업분석 음식료 2 분기 Key 포인트점검및 Revision Analyst 송치호 02 3779 8978 chihosong@ebestsec.co.kr 2 분기실적은컨센서스를상회 KT&G 의 17 년 2 분기는매출액 11,617 억원 (YoY 6.8%) 증가, 영업이익 3,865 억원 (YoY 11.7%)

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

0904fc

0904fc 212. 11. 6 기업분석 (8393/ 매수 ) 디스플레이 패널업체들의턴어라운드가반갑다투자의견매수, 목표주가 9, 원유지에대한투자의견매수, 목표주가 9, 원을유지한다. 올해 LCD 투자급감과 Stion 의국내태양전지라인투자가지연되면서 6년이후가장힘든해를보내고있다. 올해상반기까지패널고객사들의적자가지속되고공급과잉에따른패널가격약세로 LCD 투자가크게위축되었다.

More information

0904fc528045c756

0904fc528045c756 212. 1. 29 기업분석 LG생명과학 (6887/매수) 제약 퀀텀점프(Quantum Jump)의 기운이 느껴지다 투자의견 매수, 목표주가 55,원 상향 조정 12개월 목표주가 55,원으로 상향 조정하며 매수의견을 유지한다. 상향 근거는 당뇨치 료신약 출시와 해외진출 확대에 따른 영업가치 조정으로 종전 적용 PER를 동사 5년 평균 34.7배에서 프리미엄

More information

Microsoft Word - Company_Chemtronics_20140512

Microsoft Word - Company_Chemtronics_20140512 LIG Research Center Company Analysis 2014/05/12 Analyst 강봉우ㆍ02)6923-7337ㆍbwkang@ligstock.com 켐트로닉스 (089010KQ Buy 유지 TP 32,000원 유지) 기다리던 소식이 왔다 삼성전자는 AM OLED 패널을 탑재한 태블릿PC를 공급 예정. 중저가 스마트폰을 비롯 적용 어플리케이션

More information

<4D6963726F736F667420576F7264202D20C0CEB5AABDBA5FB9DDB5B5C3BC20B0F8C1A4BAAFC8AD726576C3D6C1BE5F65646974696E675F662E646F63>

<4D6963726F736F667420576F7264202D20C0CEB5AABDBA5FB9DDB5B5C3BC20B0F8C1A4BAAFC8AD726576C3D6C1BE5F65646974696E675F662E646F63> 삼성전자(005930) Buy (유지) TP 1,970,000원 3D NAND와 FinFET으로 공정한계 극복 투자의견 Buy, 목표주가 1,970,000원 유지 삼성전자 투자의견 Buy, 목표주가 197만원 유지. 1) 3D NAND 및 FinFET공정 조기 도입으로 반도체 기술력 확대가 예상되고, 2) 시스 템 반도체 및 메모리 핵심 부품 최적화로 세트와

More information

Microsoft Word - 20141214174232730.doc

Microsoft Word - 20141214174232730.doc 214년 12월 15일 산업분석 디스플레이 Overweight (유지) 모바일 스펙 경쟁 지속 전망 전략 스마트 폰 조기출시 예상 디스플레이 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 임민규 2-6114-2953 minkyu.lim@hdsrc.com 리서치센터 트위터 @QnA_Research 주요 부품업체에 따르면 내년

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

Microsoft Word docx

Microsoft Word docx (035420) 인터넷 Company Update 2016.3.18 (Maintain) 매수 목표주가 ( 원,12M) 820,000 현재주가 (16/03/17, 원 ) 636,000 상승여력 29% 영업이익 (16F, 십억원 ) 1,037 Consensus 영업이익 (16F, 십억원 ) - EPS 성장률 (16F,%) 41.7 MKT EPS 성장률 (16F,%)

More information

0904fc52803ea2a1

0904fc52803ea2a1 212. 5. 3 기업분석 아바코 (8393/ 매수 ) 디스플레이 상반기고비만잘넘기면하반기개선된다투자의견매수, 목표주가 9, 원으로하향조정아바코에대한투자의견매수를유지하지만목표주가는 9, 으로하향조정한다. 목표주 가를하향조정하는이유는 1) 유무상증자로주식수가 1,24 만주에서 1,6 만주로 56% 증가해 36% 의희석요인이발생했으며, 2) 상반기고객사의투자지연으로올해순이익을

More information

Microsoft Word - HMC_Company_Note_KoreaCircuit_20131122.doc

Microsoft Word - HMC_Company_Note_KoreaCircuit_20131122.doc Company Note 13. 11. 코리아써키트(781) Not Rated PKG 매출 고성장으로 양날개 장착 현재주가 (11/1) 상승여력 시가총액 발행주식수 자본금/액면가 5주 최고가/최저가 일평균 거래대금 (6 일) 외국인지분율 주요주주 주가상승률 1M 절대주가(%) -18.5 상대주가(%p) -16.1 당사추정 EPS 컨센서스 EPS 컨센서스 목표주가

More information

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY In-Depth (Overweight) SSD = (3D - NAND) Sep. 2013 9 Analyst 2184-2392 sh.jin@ktb.co.kr R.A. 2184-2334 jmlee1st@ktb.co.kr Issue Pitch Coverage opinion Top-picks Rationale CAGR +19%, 2X Density 2X Write

More information

2018 하반기 산업별 투자전략 글로벌 인터넷 중국 신유통 탐방기 - 전자상거래 점유율 상승 가속화 정용제

2018 하반기 산업별 투자전략 글로벌 인터넷 중국 신유통 탐방기 - 전자상거래 점유율 상승 가속화 정용제 18 하반기 산업별 투자전략 중국 신유통 탐방기 - 전자상거래 점유율 상승 가속화 정용제 2-377-1938 yongjei.jeong@miraeasset.com 163 ( 조 CNY) 18. 16. 1. 12.. 8. 6.. 2.. Mobile PC 소매시장침투율 (R) YoY성장률 (R) 12 1 16 18E E 9 8 5 6 7 9 11 13 15 18

More information

Microsoft Word docx

Microsoft Word docx LG 디스플레이 (3422) 아직시간이조금필요하다 디스플레이 Results Comment 219.1.31 투자의견 ( 하향 ) 목표주가 (12M, 유지 ) 현재주가 (19/1/3) Trading Buy 22,5 원 19,75 원 상승여력 14% 영업이익 (18F, 십억원 ) 93 Consensus 영업이익 (18F, 십억원 ) -58 EPS 성장률 (18F,%)

More information

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345 217 년 6 월 2 일 I Equity Research SK 하이닉스 (66) 강한실적과양호한업황 2Q17 Preview: 영업이익 2.94조원으로컨센서스상회 SK하이닉스의 217년 2분기매출액은 6.96조원 (YoY +77%, QoQ +11%), 영업이익은 2.94조원 (YoY +549%, QoQ +19%) 으로컨센서스를상회할것으로전망한다. DRAM은모바일비수기를지나신제품출시등으로인해출하량증가,

More information

Microsoft Word - Handset component_120626 _K__comp.doc

Microsoft Word - Handset component_120626 _K__comp.doc ` 휴대폰부품 산업분석 Report / 휴대폰 212. 6. 26 비중확대(유지) 종목 투자의견 목표주가 대덕GDS(413) 매수 21,원(신규) 대덕전자(86) 매수 16,원 일진디스플레이(276) 매수 23,원(상향) 파트론(917) 매수 17,원(상향) 휴대폰 부품주를 반드시 사야 하는 3가지 이유 살아남은 자들의 축제 비중확대 의견 유지 휴대폰 부품

More information

Microsoft Word docx

Microsoft Word docx AP 시스템 (26552) 바닥을다지는중 디스플레이장비 Earnings Preview 218.4.16 1Q18 Preview: 수익성정상화의시작 AP시스템의 1Q18 매출액은 1,637억원 (-2.7% QoQ), 영업이익은 98억원 ( 흑자전환 QoQ) 를기록할것으로판단된다. 영업이익률은 6% 수준을기록할것으로예상된다. 작년하반기후공정장비납품에따른일회성비용등이일정부분정상화될것으로판단된다.

More information

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc 산업분석 Report / 터치스크린 211. 4. 5 비중확대(신규) 종목 투자의견 목표주가(원) 멜파스(9664) 매수(-) 67,( ) 일진디스플레이(276) 매수(신규) 14,5(-) 에스맥(9778) 매수(신규) 18,(-) 이엘케이(9419) 매수(-) 27,( ) 삼성전자 태블릿 PC 공급업체에 주목 터치스크린 산업 올해 9% YoY 성장 비중확대

More information

Microsoft Word - 2016041323012959K_01_15.docx

Microsoft Word - 2016041323012959K_01_15.docx 아모레G (002790) 부진 계열사들의 턴어라운드 비-아모레퍼시픽 계열사들의 1Q16 매출액과 영업이익은 각각 전년대비 13%, 30% 증가할 것으로 추정 그 동안 부진했던 계열사들이 턴어라운드하며 아모레퍼시픽보다 빠른 영업이익 성장이 나타나기 시작 비-아모레퍼시픽 계열사들에 대해서는 기존 실적 전망 유지하나 아모레퍼시픽 실적전망 상향조정 반영하여 아모레G

More information

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\))

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\)) 218 년 5 월 9 일 에스텍 (6951) 기업분석 Mid-Small Cap Valuation 저평가 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 17, 원 1,6 원 상회부합하회 자동차용스피커 & 모바일이어폰성장동사는자동차용스피커와모바일이어폰에서성장성이부각되고있다.

More information

Microsoft Word docx

Microsoft Word docx LG 디스플레이 (3422) 보다빠른의사결정이필요하다 디스플레이 Results Comment 218.1.25 투자의견 ( 유지 ) 목표주가 (12M, 하향 ) 현재주가 (18/1/24) 매수 22,5 원 17,2 원 상승여력 31% 영업이익 (18F, 십억원 ) -142 Consensus 영업이익 (18F, 십억원 ) -272 EPS 성장률 (18F,%) -

More information

0904fc528042055d

0904fc528042055d 212. 7. 26 기업분석 로보스타 (96/Not Rated) 기계 올해 하반기의 턴어라운드 스타! 국내 이적재용 로봇 2위 기업 로보스타는 99년 LG산전(현재 LS산전) 로봇사업부를 모태로 하여 설립됐다. 동사는 IT, 자동차 등 생산 공정에 쓰이는 이송 및 적재용 로봇에 특화돼 있으며 동 시장 점유율 12% 로 현대중공업에 이어 2위 기업이다. 고객사는

More information

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4%

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4% Company Note 1. 4. 1 4 SK 하이닉스 (66) BUY / TP 6, 원 반도체 / 가전, 전자부품 Analyst 노근창 ) 3787-31 greg@hmcib.com 하반기 LP DDR4 모멘텀에주목 현재주가 (4/13) 상승여력 44, 원 3.7% 시가총액발행주식수자본금 / 액면가 3,178 십억원 78, 천주 368 십억원 /, 원 주최고가

More information

Microsoft Word docx

Microsoft Word docx LG 상사 (001120) 반등을준비한다 종합상사 Earnings Preview 2017.7.7 (Maintain) 매수 목표주가 ( 원,12M) 40,000 현재주가 (17/07/06, 원 ) 30,550 상승여력 31% 영업이익 (, 십억원 ) 249 Consensus 영업이익 (, 십억원 ) 259 EPS 성장률 (,%) 140.0 MKT EPS 성장률

More information

Microsoft Word - 2015041318024099.docx

Microsoft Word - 2015041318024099.docx 음식료 신세계푸드 (31) Company Report 215..1 Not Rated 목표주가(원,12M) - 현재주가(15//13,원) 125, 상승여력 - 영업이익(15F,십억원) 23 Consensus 영업이익(15F,십억원) 2 EPS 성장률(15F,%) 29.7 MKT EPS 성장률(15F,%) 37.3 P/E(15F,x) 26. MKT P/E(15F,x)

More information

(Microsoft Word - \274\366\301\244Edit-20120416_Hynix.doc)

(Microsoft Word - \274\366\301\244Edit-20120416_Hynix.doc) 212년 4월 16일 Upgrade Price Target 반도체 하이닉스(66) l BUY 목표주가(12M): 42,원(상향) 현재주가(4월13일): 29,원 Key Data KOSPI 지수(pt) 2,8.91 52주최고/최저(원) 37,/15,6 시가총액(십억원) 2,126.7 시가총액비중(%) 1.74 발행주식수(천주) 694,24.8 6일 평균거래량(천주)

More information

Microsoft Word - I001_UNIT_ _ doc

Microsoft Word - I001_UNIT_ _ doc 2014-7-10 통신서비스 2Q14 Preview: 전기대비개선에도기대치에는소폭하회 2Q 통신서비스업체 : 시장기대치에는소폭하회전망 비중확대 ( 유지 ) Analyst 최관순 ks1.choi@sk.com +82-3773-8812 통신서비스 업체는 분기 사상 유례없는 번호이동 시장의 경쟁 격화로 수익성 악화를 경험 이후 분기 수익성 개선이 가능할 것으로 예상된다

More information

Microsoft Word - R_120827_Display.doc

Microsoft Word - R_120827_Display.doc CONTENT SUMMARY _ 3 IT 성장 우려 속에 돋보이는 태블릿의 성장 _ 4 안드로이드 태블릿은 반격에 나설 것인가 _ 6 삼성 태블릿의 반격을 기대 _ 13 종목 분석 삼성SDI (64) 태블릿 성장으로 폴리머 전지 공급 확대 _ 18 일진디스플레이 (276) 이제 태블릿 시장이다 _ 2 이라이콤 (4152) 태블릿용 BLU도 기대된다! _ 22

More information

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal LIG Research Division Company Analysis 216/4/29 Analyst 신현준ㆍ 2)6923-7336 ㆍ anthony88@ligstock.com 삼성전자 (593KS Buy 유지 TP 1,6, 원유지 ) 별 (Galaxy) 이빛나는밤 전가격대에걸친스마트폰의판매호조및이익안정성확보로, IM 사업부문의실적견인은 1Q16 뿐만아 니라다음분기에도이어질것으로전망됩니다.

More information

Microsoft Word - 20160425 IT Weekly_v5

Microsoft Word - 20160425 IT Weekly_v5 2016년 4월 26일 Tech Weekly Vol. 6 1-a. 반도체/디스플레이 Tech View - 노르웨이 정부 2025 년부터 Zero Emission Vehicel 만 판매 가능 - INTEL 비휘발성(Non-Volatile) 메모리 솔루션 그룹 큰 폭의 매출 감소 - 삼성전자 4 년 만에 Apple iphone7 에 Nand 공급, Toshiba

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10 실적 Review 2017. 4. 27 Outperform(Maintain) 목표주가 : 34,000원주가 (4/26): 31,400원시가총액 : 112,354억원 LG 디스플레이 (034220) 중소형 OLED 경쟁력확대가능성에주목 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com 2Q17 영업이익 9,310

More information

Microsoft Word - HMC_Company_ Note_IsuPetasys_110523.doc

Microsoft Word - HMC_Company_ Note_IsuPetasys_110523.doc Company Note 1. 5. 3 이수페타시스(7) BUY / TP,원 네트워크 장비 MLB 세계 1위 굳히기 들어간다 현재주가 (5/) 상승여력 시가총액 발행주식수 자본금/액면가 5주 최고가/최저가 일평균 거래대금 (일) 외국인지분율 주요주주 주가상승률 절대주가(%) 상대주가(%p) 당사추정 EPS (1F) 컨센서스 EPS (1F) 컨센서스 목표주가 K-IFRS

More information

0904fc52803bd05d

0904fc52803bd05d 212. 2. 7 기업분석 심텍 (367/ 매수 ) 모바일중심으로성장성재개! 4Q11 실적은시장기대치에부합 심텍의 4Q11 실적은매출액 1,658 억원 (+15.3% QoQ, +6.3% YoY), 영업이익 155 억원 (+42.7% QoQ, -2.9% YoY, 영업이익률 9.4%) 를기록하였다. 주요제품별매출은 DDR3 Module PCB 및 BOC(Package

More information

SK증권 f

SK증권 f S-Oil (010950/KS 매수( 유지 ) T.P 100,000 원 ( 하향 )) 이익과투자모두좋지못한타이밍 2Q19 영업이익추정치는 -273 억원으로서컨센서스를크게하회할것으로예상함. 정유는유가하락 / 정제마진약세가나타났고, 화학에서도 PX 의지속적인가격하락이악재로작용하였음. 차후주가의방향성을보더라도중국발공급과잉속에정유 / 화학모두실적회복이쉽지않고, 게다가최근연이은화학투자의타이밍이좋지못한만큼,

More information