VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

Similar documents
歯Chap1-Chap2.PDF

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

디지털공학 5판 7-8장

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

MAX+plus II Getting Started - 무작정따라하기

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드]

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

27집최종10.22

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

ºÎ·ÏB

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

삼성기초VHDL실습.PDF

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

논리회로설계 3 장 성공회대학교 IT 융합학부 1

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

Microsoft PowerPoint - 30.ppt [호환 모드]

PowerPoint 프레젠테이션

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

PowerPoint 프레젠테이션

UML

歯03-ICFamily.PDF

Microsoft PowerPoint - verilog문법new.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

DE1-SoC Board

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

농어촌여름휴가페스티벌(1-112)

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770>

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

제1장 마을유래 605 촌, 천방, 큰동네, 건너각단과 같은 자연부락을 합하여 마을명을 북송리(北松里)라 하 였다. 2006년에 천연기념물 468호로 지정되었다. 큰마을 마을에 있던 이득강 군수와 지홍관 군수의 선정비는 1990년대 중반 영일민속박물 관으로 옮겼다. 건

tut_modelsim(student).hwp

歯02-BooleanFunction.PDF

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

PowerPoint 프레젠테이션

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

<BBEABEF7B5BFC7E22DA5B12E687770>

8장 조합논리 회로의 응용

10주차.key

Microsoft Word - PEB08_USER_GUIDE.doc

WebPACK 및 ModelSim 사용법.hwp

Video Stabilization

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

6주차.key

C프로-3장c03逞풚

歯Cablexpert제안서.PDF

전자실습교육 프로그램

휴대용 기기 분야 가정용 영상 기기 분야 휴대 전화 USB, FireWire 등 PC PC TV DVD/Blu-ray 플레이어 게임 콘솔 휴대 전화 휴대전화, PMP 등 휴대용 기기 간 대용량 데이터 무선 전송 캠코더 Component, Display Port, DVI

전자신문 코리아 e-뉴스 밴쿠버 2012 년 6월22일 9 면 충남도 최석봉 농업시설담당은 이달 말까지 가뭄이 계속되면 농업용수 공급도 한계상황에 이 를 것 이라고 우려했 충남 태안군에서는 저수지가 바 닥을 드러내면서 멸종위기 1급으 로 지정된 민물조개류 귀이빨 대칭이

歯15-ROMPLD.PDF

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

ez-md+_manual01

슬라이드 1

1

DIY 챗봇 - LangCon

Microsoft Word - FunctionCall

MR-3000A-MAN.hwp

김기남_ATDC2016_160620_[키노트].key

PowerPoint Presentation

Microsoft PowerPoint - DSD03_verilog3a.pptx


제목을 입력하십시오

보고서(겉표지).PDF

<333820B1E8C8AFBFEB2D5A B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

PowerPoint Presentation

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

untitled

02 _ The 11th korea Test Conference The 11th korea Test Conference _

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I

PowerPoint 프레젠테이션

<C8ADB7C220C5E4C3EBC0E52E687770>

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

Mentor_PCB설계입문

Microsoft PowerPoint - CHAP-01 [호환 모드]

01. Start JAVA!

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

PowerPoint 프레젠테이션

경북 친환경우수농산물 생산 및 유통체계 개선방안

2.대상 및 범위(계속) 하천 하천 등급 하천명 연장 (km) 연장 (km) 시점 금회수립현황 종점 지방 하천 함안천 경남 함안군 여항면 내곡리 경남 함안군 함안면 함안천(국가)기점 검단천 경남 함안군 칠북면 검단리 칠원천 6.70

SIGPLwinterschool2012

슬라이드 1

Microsoft PowerPoint - es-arduino-lecture-03

PowerPoint 프레젠테이션

SW_faq2000번역.PDF

Transcription:

기초 두원공과대학정보통신미디어계열이무영

2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠 (elecpia.cntc.ac.kr)! kr.edu.yahoo.com : 무료강좌 103번 구문기초 ( 이탁훈 )! Doowon KISS의디지털회로설계강좌방적극활용 ( 강의자료및보고서, 질문등적극활용바람 )

3! (Very high speed integrated circuit Hardware Description Language)! 하드웨어의설계를회로도를그리는방법이아닌기술하는언어! 복잡해지고고집적화되는하드웨어설계추세에꼭필요한선택! 디지털공학의개념이필요.! 언어를이해하면비교적쉽게하드웨어의설계가가능.

하드웨어의설계 4! 하드웨어설계방법의변화! 기본소자들 (Tr. 레벨 ) 의기판위에조립하는형태 (70 년대 )! IC(Integrated Circuit)-Gate 레벨의논리회로설계 (80 년대 )! 고집적화되고기능이다양하게분화된 IC 이용가능! 엔지니어가직접논리회로의설계가가능한 IC(PLD, FPGA-ALTERA, XILINKS, Lattice사등 ) 의이용증가 (90년대)! ASIC(Application Specific IC), SoC(System on Chip) 등의형태 IC 활성화

의출현 5! 하드웨어를모델링, 문서화, 합성, 검증, 제작등에사용할수있는표준화된 HDL 필요! 미국방성 :! 제품의개발, 생산및유지 보수등의문제! 쉽게해석할수있는하드웨어의문서화요구! VHSIC(Very High Speed IC) project 의결과물! 1987.12 월 : IEEE-1076, IEEE 표준 탄생! 현재미국방성은관련프로젝트및 ASIC 에 의사용을의무화! Synopsys, Cadence, ViewLogic, Mentor 사등이지원소프트웨어공급

의특징 6! 표준화된 HDL :! 설계된하드웨어의호환성보장! 구현에 CMOS, nmos, GaAs 등에관계없음! 라이브러리의사용이특정회사에국한되지않고자유로움, 변경용이! 설계를위한우수한하드웨어기술 ( 묘사 ) 능력! 시스템레벨에서게이트레벨까지하드웨어의동작적및구조적기술을할수있도록..! 시뮬레이션용이, 다양한지연, 물리적인양의표현, Concurrent signal assignment, resolution function등의편리한기술도구들이포함.! 아날로그및아날로그와디지털의혼합 에대한기술도시도되고있음.

의특징 7! 다양한기능제공언어! 원래는회로의문서화및시뮬레이션을위한언어! 합성을위한언어로사용됨.! 고급컴퓨터언어가제공하는다양한기능을갖춤! 디지털회로설계에만이용가능! 하드웨어설계분야에서사용자가증가! 합성도구 (Synthesis tool) 에대한지원이부족

의표현방법 8! Modelling : 하드웨어표현방법! 동작적 (Behavioral) 모델링 : 입력에따른출력결과만을고려한기술, process 문사용! 구조적 (Structural) 모델링 : 모든회로의컴포넌트및상호연결기술! 데이터흐름 (Data flow) 모델링 : signal 및제어의흐름을기술, 입력에서출력까지의경로의표현위주! 모델링의 3 가지방법을혼합하여하드웨어표현및시뮬레이션, 합성가능

의모델링예 9! 모델! Entity declaration! Component 입출력기술! 1개의 entity declaration! Architecture body! 내부적인요소나기술! 여러개의architecture body가존재할수있음.! 하나의 entity 선언에대해서동작적, 데이터플로우, 구조적에따라다른 architecture body 가올수있다.

의모델링예 (OR) 10 -- Entity declaration Entity OR2 is port(i1, I2 : in bit; O : out bit); End OR2; I1 I2 O --Architecture body Architecture Behavioral_Description of OR2 is Begin process begin if (I1= 0 ) and (I2= 0 ) then O <= 0 after 2ns; else O <= 1 after 2ns; end if; wait on I1, I2; end process; End Behavioral_Description;

의모델링예 (OR) 11 -- Entity declaration Entity OR2 is port(i1, I2 : in bit; O : out bit); End OR2; --Architecture body Architecture Dataflow_Description of OR2 is Begin begin O2 <= I1 or I2 after 2ns; End Dataflow_Description;

의모델링예 ( 반가산기 ) 12 -- Entity declaration Entity Half_Adder is port(a, B : in bit; Sum, Carry : out bit); End Half_Adder; --Architecture body --(Behavioral description example) Architecture Beh_Des of Half_Adder is Begin process begin if (A=B) then Sum <= 0 after 2ns; else Sum <= 1 after 2ns; end if; -- 여기는 Sum출력에대한기술 A B Carry Sum 반가산기 (Half Adder)

의모델링예 ( 반가산기 ) 13 A B Carry Sum 반가산기 (Half Adder) ( 이어서 ) if (A= 1 ) and (B= 1 ) then Carry <= 1 after 2ns; else Carry <= 0 after 2ns; end if; -- 여기는 Carry출력에대한기술 wait on A, B; end process; End Beh_Des;

의모델링예 ( 반가산기 ) 14 -- Entity declaration Entity Half_Adder is port(a, B : in bit; Sum, Carry : out bit); End Half_Adder; A Carry B Sum 반가산기 (Half Adder) --Architecture body --(Data flow description example) Architecture Dataflow_Des of Half_Adder is Begin Sum <= A xor B after 2ns; Carry <= A and B after 2ns; End Dataflow_Des;

의모델링예 ( 전가산기 ) 15 -- Entity declaration Entity Full_Adder is port(x, Y, C_in : in bit; S_out, C_out : out bit); End Full_Adder; --Architecture body --(Behavioral description example) Architecture Beh_Des of Full_Adder is Begin process(x, Y, C_in) variable I:integer; begin X C_out Y C_in S_out 전가산기 (Full Adder)

의모델링예 ( 전가산기 ) 16 변수의설정 I:=0; if X= 1 then I:=I+1; end if; if Y= 1 then I:=I+1; end if; if C_in= 1 then I:=I+1; end if; if (I=0) or (I=2) then S_out<= 0 after 2ns; else S_out<= 1 after 2ns; end if; 비교문 if (I=0) or (I=1) then C_out<= 0 after 2ns; else S_out<= 1 after 2ns; end if; 신호의설정 end process; End Beh_Des _Des; X C_out Y C_in S_out 전가산기 (Full Adder)

의모델링예 ( 전가산기 ) 17 -- Entity declaration Entity Full_Adder is port(x, Y, C_in : in bit; S_out, C_out : out bit); End Full_Adder; X C_out --Architecture body --(Dataflow description example) Architecture Dataflow_Des of Full_Adder is Begin S_out <= X xor Y xor C_in after 2ns; Y C_in S_out 전가산기 (Full Adder) C_out <= (X and Y) or (X and C_in) or (Y and C_in) after 2ns; End Dataflow_Des;

의모델링예 ( 전가산기 ) 18! 전가산기는반가산기 2 개를이용하여구현가능 X A S1(:=t_s) S_out Y B HA1 C1(:=t_c1) A S2 C_in B HA2 C2(:=t_c2) C_out 전가산기 (Full Adder)

의모델링예 ( 전가산기 ) 19 -- Entity declaration Entity Full_Adder is port(x, Y, C_in : in bit; S_out, C_out : out bit); End Full_Adder; --Architecture body Architecture Structural_Des of Full_Adder is -- declaration of local signals signal t_s, t_c1, t_c2: Bit -- component declarations component OR2 port(i1, I2: in Bit; O : out Bit); end component;

의모델링예 ( 전가산기 ) 20 component Half_Adder port(a, B: in Bit; S, Carry : out Bit); begin -- position association ( 위치결합 ) example HA1 : Half_Adder port map (X, Y, t_s, t_c1); HA2 : Half_Adder port map (t_s, C_in, S_out, t_c2); ORG : OR2 port map (t_c1, t_c2, C_out); end Structural_Des;

의모델링예 ( 전가산기 ) 21 component Half_Adder port(a, B: in Bit; Sum, Carry : out Bit); begin -- named association ( 이름결합 ) example HA1 : Half_Adder port map (A=>X, B=>Y, Sum=>t_s, Carry=>t_c1); HA2 : Half_Adder port map (A=>t_s, B=>C_in, Sum=>S_out, Carry=>t_c2); ORG : OR2 port map (I1=>t_c1, I2=>t_c2, O=>C_out); end Structural_Des;

디지털회로기초 HW1 22! 야후무료강의듣기! kr.edu.yahoo.com : 무료강좌 103 번 구문기초 ( 이탁훈 )! 학습방에 4 개의강좌자료가있음.! 강좌를보기위해서는 4 개의강좌중 1 개로들어가서전용 Viewer(GVA2000) 를다운로드해서설치해야함.! 강좌를다운로드해서듣기로해서자기컴퓨터로다운로드후듣는것이좋음! 4 개의강좌를모두듣기! 1 개의강좌당 A4 1 장씩강의내용정리해서제출! 강의내용정리는강의도중말로설명한것위주로작성할것.