PowerPoint 프레젠테이션

Size: px
Start display at page:

Download "PowerPoint 프레젠테이션"

Transcription

1 전자공학세미나 VLSI 신호처리 정진균

2 Contents Introduction to IC 트랜지스터 (MOS) IC 설계과정 신호처리 : Fourier 변환 결론

3 집적회로 (IC) 란? IC : Integrated Circuit 의약자 여러개의전기회로소자들을하나의기판에집적한것 경량화, 소형화, 저전력소모, 안정성 오늘날의전자, 정보통신 : IC 제작 / 설계기술의 발전이없었으면불가능! 집채만한컴퓨터? 트럭으로나운반할수있는휴대전화? Introduction to IC

4 900 년대전반에는진공관사용 - large, expensive, power-hungry, unreliable 947 년트랜지스터발명 - 미국 Bell Lab 의 John Bardeen, Walter Brattain 트랜지스터 types: - Bipolar : 고속 - MOS : 저전력, 고집적 VLSI 에적합 IC 의시작 : 트랜지스터의발명

5 MOS 트랜지스터 = 스위치 MOS 트랜지스터 : 전기적으로조절되는스위치 gate 단자의전압이 source 와 drain 단자사이의통로를 on 또는 off g = 0 g = nmos g d s d s OFF d s ON pmos g d s d s ON d s OFF

6 IC 회로 : NMOS 와 PMOS 의연결로구성 Inverter 회로 V DD A Y A Y 심볼 GND 트랜지스터표현 레이아웃

7 트랜지스터개수에따른 IC 분류 SSI (Small Scale IC) : ~00 개 MSI (Medium Scale IC) : 00~000 개 LSI (Large Scale IC) : 000~0만개 VLSI (Very Large Scale IC) : 0만 ~00만개 ULSI (Ultra Large Scale IC) : 00만 ~ 0억개 GSI (Giga Scale IC) : 0억개이상 RLSI (Ridiculously Large Scale IC) : Next to GSI

8 IC 설계의 예() Intel 4004 Micro-Processor 97 2,300 transistors 92.6KHz PMOS 3 mm X 4 mm 5V DC

9 IC 설계의예 (2) Pentium IV 42 million transistors 0.8 micron.5 GHz

10 Transistor Counts Moore s Law : 트랜지스터집적도는.5 년마다두배씩증가 Transistors (MT) X growth in.5 years! 0 P6 Pentium proc Year

11 Frequency (Speed) Frequency (Mhz) Doubles every 2 years P6 Pentium proc Year

12 Wafer 본래 Wafer 란? 밀가루, 우유, 달걀노른자등을섞어만든비스킷을일컬음 일본에서 Wafers를웨하스로발음하면서그대로전해져옴 Wafer 를쪼개어서 IC 소자제작 한장의 Wafer를이용하여몇개의소자를만들어내느냐가 IC소자생산량을결정

13 Dies per Wafer 하나의 Die 의 Size 가줄어들면줄어들수록 Dies Per Wafer 의개수는기하급수적으로늘어나게됨 A Die

14 Analog / Digital Analog 모든순간에정의되며가질수있는값이연속적 Digital 특정순간에만값이정의되며가질수있는값이한정됨 ( 예 : 0 or 값만가질수있음 ) a(t) d(n) t n

15 Analog/Digital IC Analog IC Analog 신호의처리 시스템의입, 출력회로용 고속, 고전류용 잡음에약함 Digital IC 디지털신호의처리 논리연산, 시스템내부데이터처리 IC산업의많은부분을차지하고있음 대규모집적가능, 저전력, 잡음에강함 Analog IC에비해서느린동작속도

16 IC 설계과정 () Front-end Design IC 설계사양 행위수준설계 레지스터수준설계 Functional spec I/O Signal spec Test plan :-C -HDL(Behavioral) : -HDL(RTL) 게이트수준설계 :-Synthesis from HDL -Logic Timing Simulation Back-end Design 배치배선설계 제조공정 :-Placement & Routing -Post-simulation 시험 :-Chip test( 기능,fault) -System 실장 Test

17 IC 디자인레벨 SYSTEM + MODULE GATE CIRCUIT S n+ G DEVICE D n+

18 IC 설계사양 ( 스펙 ) - 칩의기능, 속도등스펙의지정 행위수준설계 IC 설계과정 (2) - 칩의기능적인면을우선적으로고려하여칩의행위동작을중심으로설계하고검증 (C 등 executable program 사용 ) 레지스터수준설계 - 칩을기능블록별로분리하여논리합성이가능하도록레지스터수준에서설계 - HDL(Hardware Description Language) 사용

19 IC 설계과정 (3) 게이트수준설계 - 논리합성과정을통해논리게이트수준의설계를수행 - 논리합성은 HDL 로표현된설계내용을 CAD 툴을이용논리게이트로변환하는것 - 논리게이트로합성할때실제제조할공정의특성에맞는게이트라이브러리사용 - 각게이트의연산지연정보가포함되어어느정도정확한타이밍을고려한 시뮬레이션수행가능 배치배선설계 - 각게이트들을실리콘기판위에배치 (placement) 하고배선 (routing) - 칩으로제작하기위한모든물리적위치및연결정보가결정되기때문에각게이트 의연산지연뿐아니라각공정특성에맞는연결지연값계산가능 - 배치배선에의해발생한연결지연정보를포함하여타이밍시뮬레이션을수행하는 과정 : 포스트시뮬레이션 - 실제구현될칩과동일한환경에서설계검증

20 IC 설계과정 (4) TR이나 Gate Level로 Design한결과를이용하여 Place & Route (Layout) Analog : Auto Layout 불가능 Digital : Auto Layout이가능하지만동작속도, 전력소비, 또는면적등에제약이있을경우직접 Layout하는것을원칙으로함 Layout한결과를이용하여실제제작 공장에서의자동화작업

21 Hardware Description Language (HDL) () A language used to describe a digital system Two major HDL s: Verilog: IEEE standard in 995 (C-like) VHDL: IEEE standard in 987 (Ada-like) Advantages 복잡한시스템의설계 IEEE 표준으로제정되어대부분의업체가표준설계방식을지원하므로특정 ASIC 업체의기술이나공정에무관하게사용가능

22 Hardware Description Language (HDL) (2) Advantages 다양한레벨의디자인및혼합가능 (switches, gates, RTL, behavioral) Functional verification 용이 주석을단문장으로회로설계 : 회로의설계및 debug 용이 Verilog: originated in 983 at Gateway Design Automation, now a part of Cadence Design Systems

23 HDL 설계예 : MAX+plusII 시작

24 MAX+plusII 초기화면

25 새파일 새파일만들기 새파일선택

26 Text editor 화면

27 CPLD 의 device 선택

28 컴파일하기

29 컴파일실행, 완료화면

30 Waveform 작성

31 Waveform 작성과 node 불러오기

32 Waveform 작성을위한 node 입력 box

33 Waveform editor 에 signal 입력및저장

34 Simulator 의시작

35 시뮬레이션완료

36 지연시간분석

37 입출력간지연시간

38 Verilog 를이용한회로설계 Verilog HDL 의처음작업 : module( 설계의단위 ) 의정의 Module 정의 : Module 이름정의 입출력신호선언 ( 포트선언 ) Module 내부구조표현 입력신호 a b module and_2 내부구조 출력신호 y

39 모듈문의형식 module 모듈이름 ( 포트목록 ); 포트선언 ; register 선언 ; wire 선언 ; parameter 선언 ; 회로기능표현 primitive operator assign 문 function 문 always 문하위모듈호출등 endmodule

40 예제 : Half Adder 게이트를이용한모델링예 module H_ADD (X, Y, C, S); // 모듈의시작, 세미콜론있는것에주의 input X, Y; // 입력신호 output C, S; // 출력신호 endmodule and U (C, X, Y); // X, Y 를 AND 해서 C 로출력 xor U2 (S, X, Y); // X, Y 를 XOR 해서 S 로출력 연속할당문을이용한모델링예 module H_ADD (X, Y, C, S); input X, Y; output C, S; // 모듈끝, 세미콜론이없는것에주의 assign C = X & Y; // X, Y 를 AND 해서 C 로출력 assign S = X ^ Y; // X, Y 를 XOR 해서 S 로출력 endmodule

41 Layout 의예 여러가지의 Metal이용 각각의 Metal 색깔로구분 계산된 Wire의두께, 또는 TR의 Size에의하여 Metal 의두께결정 Crosstalk 등잡음에영향을덜받도록 Layout하여야함

42 주문형 IC (ASIC) ASIC : - Application Specific Integrated Circuit - 사용자명세에따르는집적회로 - Full custom, semi custom 디자인방식

43 Full Custom 설계 Full Custom Design 수동레이아웃 최소의면적 설계기간이길어짐 개발비용증가 Analog ASIC 의경우 Full Custom 에해당

44 Semi Custom Design Semi Custom 설계 이미검증된표준셀라이브러리를사용하여설계 Placement & Routing 은수동또는자동으로실시 Full Custom Design에비해설계기간단축 Full Custom Design보다작은집적도 Digital ASIC 의경우 Semi Custom 에해당

45 실제제작된 Chip 의모습 (Packaging 후 ) ASIC 설계의예 : FFT ( 전자공학전공졸업생작품 ) 삼성라이브러리사용 0.35 um 공정 V DD : 3.3 V Area : 2 만 Cells 동작주파수 : 50MHz I/O Pin : 208 개

46 VLSI 신호처리 VLSI 설계기술과아울러다양한신호처리에대한지식필요 신호처리, 통신시스템에대한지식이있을때보다경쟁력있는칩설계가능 신호처리의대표적알고리즘 : Fourier Transform

47 Fourier 변환의핵심 : 임의의 signal 을기본 signal 들을이용하여표현 Ex: 차연립방정식 a+b+c=7 2 a-b+c=5 3 a-b-c= +2 : 2a+2c=2 a+c=6 +3 : 2a=8 a=4 c=2 2 : 4-b+2=5 b= a b c = 4 2 Ex: 차연립방정식 a+b+c=3 2 a-b+c= 3 a-b-c=- +2 : 2a+2c=4 a+c=2 +3 : 2a=2 a= c= 2 : -b+= b= a b c =

48 연립방정식의다른표현 a b c 7 a b c a b c = + + = a b c af + bf + cf = F 2 3 2, 3 2, 3 f f f F 2 3 임의의 signal 임의의함수 F를 f, f f세개의함수를이용하여전개. 계수 abc,, f, f f : basis 7 5 f - f 2 - f 3 f, f f : basis 2, 3

49 구간이 4 인 basis: 구간이 4 인 함수전개에필요 f3 = - - f = - f f 2 4 = = F = af+ a2f2 + a3f3 + a4f4 구간 = 4 인어떤함수 F도 f, f, f, f 이용표현가능 4 n= n= F = a f a ~ a : 연립방정식의해 N n n 4 F = a f N = large 연립방정식불편 n n a n 의쉬운계산방법 0, ( i j) fi f j dx = nonzero, i = j f, f : orthogonal i j?

50 f *f 2 = ( ) f *f 3 - (f 2 *f 4 ) f *f 4 Orthogonality 를이용한계수계산 () x f 적분 =0 2 *f 3 = x 적분 =0 - f 3 *f 4 = - x 적분 =0 a 구할때 Ff dx = a f f dx + a f f dx + a f f dx + a f f dx ff dx 2 2 i = a f f dx + a f f dx+ a f f dx+ a f f dx Ff dx a = a = a = Ff dx i i ffdx i Ff dx f f dx F = af+ a2f2 + a3f3 + a4f4

51 ex) Orthogonality 를이용한계수계산 (2) a : Ff 3 F = = a + a 2 + a 3 + a f f Ff dx = = 8 8 ffdx = = 4 a = = 4 2

52 Periodic DT signal n 주기 N=4 DT 주기신호를기본주파수 2π ( w0 = ) N 와그정수배에 해당하는주파수 ( 고조파 ) 의 complex exponential 로표현 DT Fourier series 주기신호 : 기본주파수와그정수배에해당하는주파수 밖에가질수없다. Why?

53 주파수가정수배인신호들 : 주기가같다! T 0 : 0 T 0 t T 0 : 2 T 0 : T0 3 T0 주기 = 인신호 2 T T 0 2 = 주기의정수배도주기이므로 T0 주기 = 인신호 3 T0 3 = T0도주기 3 0 T 2 T 0 T 0 0 T0 3 T 도주기 T0 2 t t T 0 안에신호가정확히포함 (T 0 마다동일신호반복 ) 합 : T 0 의주기신호

54 만일정수배가아닌 3 2π Ω0포함한다면, Ω 0 = T 정수배아닌주파수포함한다면 주기 0 T 0 2T T 0 3 T 0 T 안에정확히포함안함 T 0 0 의주기신호불가

55 Fourier series () 2π DT 에서 ( w0 = ) 와그고조파로구성된 complex exponential 의갯수=N N jw0n w e e 0 2 π =, = N 2π 2 0 = 2, = N j2wn 0 w e e 2π j n N 2π j 2n N ( N ) w, e = e Nw j( N ) wn 0 N 2π j Nn N, e = 2 π j ( N ) n 2π 2π j ( N+ ) n j n N N ( N + ) w, e = e Basis 가 N 개만존재하므로 N 개의 basis 를이용하여합성

56 Fourier series (2) DTFS 주기신호이므로한주기합성하면모든주기가합성된것 주기신호 ~ xn ( ) = N k = 0 ae k 2π j kn N : synthesis equation a k = N N ~ n= 0 xne ( ) 2π j kn N : analysis equation

57 2 2 π j kn 4 주기구간= e, k = 0,, 2,3 을이용표현 주기 N=4 0 2π 2π 2π 2 π j 0 n j () n j (2) n 4 4 j 4 e, e, e j (3) n 4 = = = j, e = n n n j ( ) n = = = ( j) = j j 2 j j = a + a + a + a 0 j j Fourier series example ~ ( ) xn 2 n

58 Fourier series 계수계산 a 0 : 2 = + 2+ = 4 = = 4 0 j = + = 0 = + = 0 j j 4 = a 0(4) = j + j = 0 4 a0 = = j [ ] [ ] [ ] j j [ ] [ ] 4

59 Fourier 변환 - Orthogonality 이용하여계산간편 - 신호의빠르게변하는정도 ( 주파수성분의크기 ) 표현 - 주기신호 : Fourier series - 비주기신호 : Fourier transform - 신호처리의핵심 - Discrete time Fourier transform의고속계산 : FFT

60 반도체산업 반도체산업은통신, 컴퓨터, 가전, 자동차등산업전반에걸쳐사용되고고부가가치산업 세계반도체시장은 2004~200년까지 6.4% 의연평균성장률로성장할것으로예상되며, 200년에는매출액이 380억달러에이를것으로전망 아시아태평양지역에서의반도체시장은 2004년에전년대비 25.7% 증가한 024억달러규모에달하며, 200년에는 666억달러에달할것으로예측 비메모리반도체는세계반도체시장의 80% 가량을차지하고있으나한국내에서는 D램과플래시메모리등에압도돼상대적으로저조

61 결론 국내에서는전통강세인메모리분야에서비메모리분야로산업비중이확대되고있는추세 정보통신사회가유무선통합, 멀티미디어화, 지능화, 개인화, 인간화의방향으로진화해나아가면서그것의근간이되는반도체기술도시스템기능이하나의칩으로통합되는단일칩시스템 (SOC:System-On-a-Chip) 화하는방향으로발전 반도체설계기술은단기적인교육으로인력이양성되는것이아니라체계적이고장기적인교육을통하여양성된고급인력이필요한분야

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

Chapter4.hwp

Chapter4.hwp Ch. 4. Spectral Density & Correlation 4.1 Energy Spectral Density 4.2 Power Spectral Density 4.3 Time-Averaged Noise Representation 4.4 Correlation Functions 4.5 Properties of Correlation Functions 4.6

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

CAE Conference 2012 Electrical CAE 기술동향 - Electronic Design Automation 이윤식박사 / 전자부품연구원 대전컨벤션센터 년 CAE 협회

CAE Conference 2012 Electrical CAE 기술동향 - Electronic Design Automation 이윤식박사 / 전자부품연구원 대전컨벤션센터 년 CAE 협회 CAE Conference 2012 Electrical CAE 기술동향 - Electronic Design Automation 이윤식박사 / 전자부품연구원 대전컨벤션센터 2012. 11. 23 0. 목차 1. CAE 란? q CAE: 컴퓨터를이용한해석, 분석등의과정을의미 Ø 제품설계, 개발분야에컴퓨터를응용하는기술로써, 컴퓨터를이용한모의실험을통해테스트기간및비용을대폭감소하는기술

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

歯4.PDF

歯4.PDF 21 WDM * OADM MUX/DEMUX EDFA Er + Doped Fiber Isolator Isolator GFF WDM Coupler 1.48 um LD 1.48 um LD Transmitter Receiver MUX EDFA OADM DEMUX Switch Fiber Optics Micro Optics Waveguide Optics Isolator,

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

intro

intro Contents Introduction Contents Contents / Contents / Contents / Contents / 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

SW_faq2000번역.PDF

SW_faq2000번역.PDF FREUENTLY ASKED UESTIONS ON SPEED2000 Table of Contents EDA signal integrity tool (vias) (via) /, SI, / SPEED2000 SPEED2000 EDA signal integrity tool, ( (via),, / ), EDA, 1,, / 2 FEM, PEEC, MOM, FDTD EM

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

2015 경제ㆍ재정수첩

2015 경제ㆍ재정수첩 Contents 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 Part 01 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 Part 02 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62

More information

Siemens

Siemens SIEMENS () 2004 7 Updated 2004 DEC 09 1. 4 1.1 4 1.2 4 2. 5 2.1 5 2.2 6 2.3 6 2.4 7 3. 8 3.1 50/60 Hz DIP 8 4. 9 4.1 420 9 4.2 420 9 4.3 (CB) 10 5. / () 11 5.1 11 5.2 : P0003 12 6. 13 6.1 13 6.2 15 6.2.1

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

- 2 -

- 2 - - 1 - - 2 - 전기자동차충전기기술기준 ( 안 ) - 3 - 1 3 1-1 3 1-2 (AC) 26 1-3 (DC) 31 2 37 3 40-4 - 1 14, 10,, 2 3. 1-1 1. (scope) 600 V (IEC 60038) 500 V. (EV : Electric Vehicle) (PHEV : Plug-in Hybrid EV).. 2. (normative

More information

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jun; 26(6), 546 554. http://dx.doi.org/10.5515/kjkiees.2015.26.6.546 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Proof-of-Concept

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

통신이론 2 장주파수해석 성공회대학교 정보통신공학과 1

통신이론 2 장주파수해석 성공회대학교 정보통신공학과 1 통신이론 장주파수해석 성공회대학교 정보통신공학과 제 장의구성. 시간영역과주파수영역. 푸리에해석.3 푸리에급수.4 푸리에변환.5 특이함수모델.6 푸리에변환쌍.7 푸리에변환과관련된정리들 . 시간영역과주파수영역 3 시간영역과주파수영역 통신에서의신호 - 시간의흐름에따라전압, 전류, 또는전력의변화량을나타낸것 신호를표시할수있는방법 y 진폭 시간영역에서의표현 x 시간 y

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 216 Oct.; 27(1), 917 925. http://dx.doi.org/1.5515/kjkiees.216.27.1.917 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) CMOS DTMOS

More information

C 언어 프로그래밊 과제 풀이

C 언어 프로그래밊 과제 풀이 과제풀이 (1) 홀수 / 짝수판정 (1) /* 20094123 홍길동 20100324 */ /* even_or_odd.c */ /* 정수를입력받아홀수인지짝수인지판정하는프로그램 */ int number; printf(" 정수를입력하시오 => "); scanf("%d", &number); 확인 주석문 가필요한이유 printf 와 scanf 쌍

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

歯Cablexpert제안서.PDF

歯Cablexpert제안서.PDF : CableXpert TM TEL:02-576-0471 / E-mail : lab@dakos.net 1. (CableXpert TM :CME1100 ) (PSTN) 100,. (CableXpert TM ).,,.... : : 324-1 2 : 02-576-0471 : 02-576-0474 : (E-mail : jangpo@dakos.net) 3. 1) S/W

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Information Memorandum Danam Communications Inc

Information Memorandum Danam Communications Inc Information Memorandum 2000. 7. 6 Danam Communications Inc 2 TABLE OF CONTENTS... 5 I.... 6 1....6 2....7 3....9 4....10 5....11 6....12 7....13 8....14 II.... 16 1....16 2....16 3....16 4....17 III. R&D...

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드] IC-CAD CAD 실험 Lecture 3 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 1, Jan 서론 PC PMIC(Power Management IC) [1]. PMIC DC-D

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 1, Jan 서론 PC PMIC(Power Management IC) [1]. PMIC DC-D THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 7180. http://dx.doi.org/10.5515/kjkiees.2015.26.1.71 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) LDO PSR

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

Microsoft PowerPoint - chap10-함수의활용.pptx

Microsoft PowerPoint - chap10-함수의활용.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 중 값에 의한 전달 방법과

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date 2012-10-25 Team Information Sanghyun Yoon shyoon.dslab@gmail.com Dependable Software Laboratory

More information

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 목차 1. 선정배경및목적 2. 관련기술및기술동향 3. 프로젝트세부사항 A. 시스템구성도 B. 시나리오 C. 기대효과 D. 개발환경 4. 스케줄 5. 팀구성및역할 6. 참고문헌 1. 선정배경및목적

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Microprocessor) 1. 마이크로프로세서란? 1 작은실리콘칩위에트랜지스터를수천만개집적한소자 2 마이크로 [μ] 는매우작은크기, 프로세서는처리기혹은 CPU를뜻하므로

More information

Dual- Gate FET T he Analysis and Applications of Nonlinear Characteris tics of Dual- Gate FET 1999 12

Dual- Gate FET T he Analysis and Applications of Nonlinear Characteris tics of Dual- Gate FET 1999 12 Dual- Gate FET T he A naly s is and A pplication of Nonlinear Characteris tics of Dual- Gate FET 199 9 Dual- Gate FET T he Analysis and Applications of Nonlinear Characteris tics of Dual- Gate FET 1999

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

- 2 -

- 2 - 2014 년융 복합기술개발사업 ( 융 복합과제 ) 제안요청서 목차 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - Ω - 18 - - 19 - - 20 - 기계소재 -001-21 - 기계소재 -002-22 - 기계소재

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 SeoulTech UCS Lab SoC (System on Chip) 2013. 03. 19( 화 ) 컴퓨터공학과이재동 (jdlee731@seoultech.ac.kr) 목 차 1. 개요 2. SoC (System on Chip) 의개념 3. SoC 기술 4. 지적재산권 5. SoC 기술의발전전망 2 들어가며 디바이스 (device) 사전적의미 : 특정한목적을가진기계적,

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

tut_modelsim(student).hwp

tut_modelsim(student).hwp ModelSim 사용법 1. ModelSim-Altera 를이용한 Function/RTL 시뮬레이션 1.1. 테스트벤치를사용하지않는명령어기반시뮬레이션 1.1.1. 시뮬레이션을위한하드웨어 A B S C 그림 1. 반가산기 1.1.2. 작업디렉토리 - File - Change Directory 를클릭하여작업디렉토리지정. 1.1.3. 소스파일작성 - 모델심편집기나기타편집기가능

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information