디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

Similar documents
MAX+plus II Getting Started - 무작정따라하기

歯Intro_alt_han_s.PDF

디지털공학 5판 7-8장

歯Chap1-Chap2.PDF

Microsoft Word - logic2005.doc

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

歯15-ROMPLD.PDF

Libero Overview and Design Flow

제5장 PLD의 이해와 실습

삼성기초VHDL실습.PDF

Orcad Capture 9.x

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

Slide 1

ºÎ·ÏB

Microsoft PowerPoint - hw4.ppt [호환 모드]

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

PowerPoint 프레젠테이션

<BBEABEF7B5BFC7E22DA5B12E687770>

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

PowerPoint 프레젠테이션

Video Stabilization

PowerPoint 프레젠테이션

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

1

歯DCS.PDF

Microsoft Word - Experiment 5.docx

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

DE1-SoC Board

untitled


歯AG-MX70P한글매뉴얼.PDF

WebPACK 및 ModelSim 사용법.hwp

Mentor_PCB설계입문

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

歯03-ICFamily.PDF

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

초보자를 위한 C++

PowerPoint 프레젠테이션

untitled

김기남_ATDC2016_160620_[키노트].key

APOGEE Insight_KR_Base_3P11

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

Quartus-Manual_Kor.PDF

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

Microsoft PowerPoint - AC3.pptx

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

UML

Coriolis.hwp

PowerPoint 프레젠테이션

SW_faq2000번역.PDF

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

MAX+plusⅡ를 이용한 설계

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

PowerPoint 프레젠테이션

Microsoft PowerPoint - CHAP-01 [호환 모드]

DIB-100_K(90x120)

Microsoft Word - logic2005.doc

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

歯02-BooleanFunction.PDF

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

tut_modelsim(student).hwp

PowerPoint 프레젠테이션

歯이시홍).PDF

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

강의10

1

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft Word - SRA-Series Manual.doc

한국기술교육대학교장영조

<313920C0CCB1E2BFF82E687770>

Chapter4.hwp

전자실습교육 프로그램

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드]

(2) : :, α. α (3)., (3). α α (4) (4). (3). (1) (2) Antoine. (5) (6) 80, α =181.08kPa, =47.38kPa.. Figure 1.

슬라이드 1

KDTÁ¾ÇÕ-2-07/03

DIY 챗봇 - LangCon

59

CAE Conference 2012 Electrical CAE 기술동향 - Electronic Design Automation 이윤식박사 / 전자부품연구원 대전컨벤션센터 년 CAE 협회

KDTÁ¾ÇÕ-1-07/03

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45

1217 WebTrafMon II

Since 2009

C# Programming Guide - Types

# E-....b61.)

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3

¼º¿øÁø Ãâ·Â-1

04_오픈지엘API.key

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

FPGA 개념 q FPGA (Field Programmable Gate Array)? v 사용자가현장에서직접프로그램가능한소자 v 기본적인논리게이트, 플립플롭, 메모리등을구현가능 v 수십개 ~ 수백만개의소자를포함하는규모 v 개발기간이짧고회로동작을바로검증할수있어개발초기에사

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Table of Contents 1 FPGA 소개 FPGA 개발흐름 [4] 구조 FPGA 장단점 FPGA Development Process Requirement Specifi

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Manufacturing6

Microsoft PowerPoint - CHAP-03 [호환 모드]

Transcription:

디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr

차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개 2

Why Digital? The shape of waveform is affected by two basic mechanism Distorting effect Noise or interference Since binary circuits operate in one of two state, digital circuits are less subject to distortion and interference than are analog circuits. 3

Classification of Signals x(t) x(kt)...... Analog : x(t) is a continuous function of time Discrete : x(kt) is one that exists only at discrete times(kt) Digital : Sampling and Quantization t t 4

Combinational Logic 현재의입력값이아무때나출력되는 Logic NOT-, AND-, OR-gate와같은기본 logic 에의해서구성됨 Multiplexer, Encoder, Adder, Multiplier 등을구현할수있음 5

Sequential Logic Clock 의 rising edge 에입력된신호가출력 Flip-Flop(RS, JK, D) 으로구성됨 Flip-Flop 은 gate 에의하여구성됨 실제적으로주로 DFF 을이용함 Delay, Register, Shifter, Counter등을구현할수있음 복잡한 Logic을간단한 Logic으로분할하여 Pipe Line Processing 가능 6

State Machine 입력값과현재 State에따라서출력값과다음Sate 값이결정됨 Combinational Logic과 Sequential Logic 을함께이용하여구성됨 Controller등 Case가복잡한구현에적용하여간단한여러개의 Case로나누어구현할수있음 7

Review Advantage of Digital Systems Analog to Digital Conversion Quantization Noise Quantization Saturation Timing Jitter Goals of Digital Systems Logic Circuit의종류 8

MAX+PLUS II? 사용상의편리성과빠른컴파일속도 ALTERA PLD 전용디자인소프트웨어 단일시스템내에완벽히통합된설계과정을통해 PC 및 Unix 환경에서사용가능. VHDL, VerilogVHDL, Schemetic, LPM(Library of Parametrized Modules), AHDL(Altera Hardware Description Language) 그리고 Waveform Design 등주요한디자인기술을단일시스템상에서동시에지원하여개발기간을단축시켜줌. 일반 EDA 툴과간편하게데이타호환 9

PLD 의종류 10

Introduction to Altera Inventor of the EPLD in 1983 Seven Programmable Logic Families Product Term-based (EPROM, EEPROM) Classic FLASHLogic MAX 5000 MAX 7000E(S) MAX 9000 Look-Up Table-based (SRAM) FLEX 10K FLEX 8000A FLEX 6000 11

Implement of Digital Systems Gate : 설계 ( 하 ), T/S( 하 ), 비용 ( 저 ), 유연성 ( 중 ) EPLD : 설계 ( 상 ), T/S( 상 ), 비용 ( 중 ), 유연성 ( 상 ) ASIC : 설계 ( 중 ), T/S( 중 ), 비용 ( 고 ), 유연성 ( 하 ) * 양산시는단가면에서 ASIC 이유리 12

Classification of Altera Chips Classic, 5000 series: Small size,low speed 7000, 9000 series (E-EPROM Type) logic cell : less then 550 speed : very high 8000, 10k series (SRAM Type) logic cell : less then 3500 speed : high 10k series include internal SRAM 13

Altera Chip 의종류 EPROM Type(EPM), SRAM Type(EPF) Series : 7000(7), 8000(8), 10k(10K) Logic Cell : 256, 1500 Speed Grade : 3(30), 2(20), 15, 10, 7 Package Type : GC(PGA), LC(PLCC) Number of Pin Ex) EPM7256EGC192-12, EPF10K100GC503-3 14

MAXPLUS Tools 소개 Text Editor(.tdf,.rpt) Graphic Editor(.gdf) Waveform Editor(.scf) Compiler Timing Simulator Timing Analyzer Programmer(.pof) 15

MAX PLUS II 설계환경

Design Flow Debugging Waveform Editor Pin Assign & Recompile Design Compile Timing Simulation Programming AHDL, VHDL, Graphic Editor, (Verilog HDL, EDIF) Syntax Check, Synthesis, Partition, Fitting Waveform Editor 를이용하여 Input Signal 을정의하면 Node 및 Output Signal 의출력 Design 이확정되었을때실제 Altera Chip 에 Programming 을함 17

Design Entry There are serveral methods of design entry in MAX+PLUS II Graphic design (Schematic Capture) AHDL (Altera Hardware Description Language) VHDL Waveform design EDIF (Synopsys, Viewlogic, Mentor, Cadence, etc.) Proprietary (ABEL, PALASM, OrCAD.sch, Xilinx.xnf) 18

컴파일수행과정과생성파일

Compile Process Compiler Netlist Extractor : 각디자인파일에서 netlist 파일 (.cnf) 생성 Database Builder : 전기적인연결상태점검 Logic Synthesizer : 로직점검하여연결되지않은노드삭제 Partitioner : 하나의 Device에할당하고불가능시여러 Device로나눔 Fitter : 나누어진회로를최상의 ; Logic Cell에할당하고배선을함 Timing SNF Extractor : 최적화된데이터에 timing data를포함하는 Timing Simulation File 생성 Assembler : Fitter의로직셀이나 pin등을해당 Device에대한 Programmer Object File(.pof) 나 SRAM Object File(.sof),.hex File 등을생성한다. 20

프로그래밍

GDF(Graphic Design File 예 ) 22

Simulation 결과예 23

TDF (Text Design File) 사용예 24

VHDL 사용예 library ieee; use ieee.std_logic_1164.all; entity test1 is port( a,b,c1,c0: in std_logic; and_out,or_out,xor_out : out std_logic); end test1; architecture sample of test1 is signal an : std_logic; signal cn : std_logic; begin an <= a and b; cn <= c1 or c0; and_out <= an; or_out <= cn; xor_out <= an xor cn; end sample; 25