Microsoft Word - 반도체산업_Foundry_최종종종_EDITING_F_수정f.docx_mbB9vCZGiub2xh3ofEPG

Size: px
Start display at page:

Download "Microsoft Word - 반도체산업_Foundry_최종종종_EDITING_F_수정f.docx_mbB9vCZGiub2xh3ofEPG"

Transcription

1 산업 Overweight 파운드리산업분석 - 시스템반도체 Part 1 반도체 Analyst 박유악 yuak.pak@meritz.co.kr 삼성전자 (005930) Buy, TP 1,700,000 원 Top Pick: 삼성전자 (Buy, TP 170만원 ) - 삼성전자 : 2016년 Foundry 매출액 7조원, 영업이익 2조원전망 - SK하이닉스 : Foundry, 아직가야할길이멀다 투자포인트 : 삼성전자, Foundry 시장 Outperform할전망 1. Foundry 주력수요, 20nm이하공정으로이동할전망 Foundry의주력수요는 Apple과 Fabless 상위 10개업체. 2H14부터해당업체들의 20nm이하공정도입큰폭확대. 이에따라, Foundry의미세공정매출액 2016년 240억달러 (CAGR +34%, Foundry 전체의 49%) 로급증할전망 2. 공급가능업체는삼성전자, GlobalFoundries, TSMC 뿐설비투자비용급증으로 28nm이하공정진입장벽발생. 공정개발기간감안하면, 당장투자를집행하더라도제품생산까지는최소 2년이소요됨. 따라서, 후발업체진입이사실상불가능하다고판단 3. 삼성전자 IP 경쟁력급증, Foundry 시장 Outperform할것삼성전자는 GlobalFoundries와의 Fab Sync 효과로미세공정내 IP 경쟁력대폭향상될전망. 2H14 이후 Qualcomm, Broadcom, AMD 등의신규고객을확보하며, 2016년 Foundry 매출액 7조원, 영업이익 2조원기록할전망 Foundry 산업개요 - 시장규모 : 2013년 404억달러 2018년 525억달러전망 CPU, DRAM, NAND, Baseband, Mobile AP 시장대비도크다! - 시장점유율 : TSMC 50%, GlobalFoundries 11%, 삼성전자 6% - 시장경쟁력 : 풍부한 IP 보유능력이핵심

2 메리츠종금증권리서치센터 2

3 Contents 1 Investment Summary 4 1 삼성전자 Foundry 2016년매출액 7조원전망 4 2 Foundry New Growth Opportunity! 5 1 Foundry 산업 전체반도체성장률상회전망 Foundry 시장규모 DRAM 1.1배, NAND 1.3배 7 3 Foundry 시장점유율 삼성전자 6% 불과, 1위를향해! TSMC 강점 - Capa 경쟁력에따른풍부한 IP 보유 9 3 Foundry 산업 Paradigm Shift! nm 이하미세공정도입 Start! 13 2 미세공정으로의 Foundry 수요이동 15 3 공급은삼성전자, GlobalFoundries, TSMC로제한적 18 4 삼성전자 Foundry 점유율상승 16년매출액 7조원전망 20 4 APPENDIX I 시스템반도체 Basic 24 5 Appendix II 반도체산업 Key Data 44 6 Company Briefs 52 1 삼성전자 53 2 SK하이닉스 61 메리츠종금증권리서치센터 3

4 I. Investment Summary 1. 삼성전자 Foundry, 2016년매출액 7조원전망 - 1위를향해! 향후전체반도체시장성장률을상회할 Foundry 산업에주목한다. Foundry의시장규모는 2013년 404억달러로 DRAM, NAND, CPU, Baseband, Mobile AP 시장대비더욱크다. 그동안은 TSMC가 Capa 및 IP 경쟁력을바탕으로시장을압도해왔으나, 2015년부터는 20nm이하공정의수요증가 와 GlobalFoundries의 Fab Sync 전략 효과로인해삼성전자의점유율이큰폭으로성장할전망이다. Foundry 산업은 2H14부터주력수요가 20nm이하공정으로이동할전망이나, 공급가능업체는삼성전자, GlobalFoundries, TSMC에국한될것으로예상된다. 따라서, 해당업체를중심으로한점유율변화가예상되며, 이중삼성전자가시장을 Outperform할것으로판단한다. 삼성전자는 GlobalFoundries와의 Fab Sync 효과로미세공정내 IP 경쟁력이대폭향상되고, Qualcomm, Broadcom, AMD 등의고객을확보해나아갈전망이다. 당사는 Foundry 매출액이 2016년 69억달러로급성장할것으로예상되는삼성전자를업종내 Top Pick으로지속추천한다. [ 그림 1] 삼성전자 Foundry 매출액추이및전망 ( 십억달러 ) 삼성전자 Foundry 매출액 %YoY( 우 ) % 150% % E 2015E 2016E 50% 0% -50% [ 표 1] Peer Group Valuation Table ( 단위 : 십억원, 배 ) 매출액영업이익 PER PBR EV/EBITDA ROE 시가총액 E E E E E E 삼성전자 208, , ,893 36,785 35, SK 하이닉스 29,900 14,165 15,472 3,380 4, TSMC 107,736 22,012 22,360 7,720 8, UMC 5,771 4,565 4, SMIC 2,646 2,265 2, 주 : 삼성전자와 SK 하이닉스는당사추정치임자료 : Bloomberg, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 4

5 II. Foundry New Growth Opportunity! 1. Foundry 산업 전체반도체성장률상회전망글로벌반도체산업은 IoT(Internet of Things) 시대진입으로연평균 +4% 성장하며 2018년 4,619억달러의매출액을기록할전망이다. 주요산업별로는 Foundry 산업이고객사의 Fab Light 전략 확대의영향으로전체성장률을상회하고, Memory 산업은 2H14부터의경쟁심화로전체성장률을하회할전망이다. Fab Light 전략 이란, 공정미세화난이도상승 과 Fab 설비투자금액급증 의영향으로반도체업체들이자사의 Fab을줄이고외주생산을늘려가는것을말하며, 이에대한수혜는외주생산을전문으로하는 Foundry 산업이받게된다. [ 그림 2] 글로벌반도체산업 연평균 +4% 지속성장전망 ( 십억달러 ) System IC Memory Foundry SATS E 2015E 2016E 2017E 2018E [ 표 2] 글로벌반도체산업전망 ( 단위 : 십억달러 ) E 2015E 2016E 2017E 2018E 2013~2018 CAGR Total % Semiconductor % Memory % System IC % Foundry Service % SATS % %YoY, Total -1% 6% 6% 3% 4% 4% 3% Semiconductor IC -3% 5% 5% 2% 4% 4% 3% Memory -9% 24% 10% -7% 6% 2% 1% System IC -1% 1% 4% 5% 4% 4% 3% Foundry Service 16% 17% 10% 5% 5% 4% 3% SATS 2% 2% 6% 6% 4% 6% 1% 주 1: System IC 는 Microcomponent, Logic IC, Analog IC, Discrete, Optical/Nonoptical Sensors, ASIC, ASSP 포함주 2: SATS(Semiconductor Assembly and Test Services) 는 Outsourcing Market 만을포함. 메리츠종금증권리서치센터 5

6 [ 표 3] 글로벌반도체산업 (IC, Discrete Only) 전망 ( 단위 : 십억달러 ) E 2015E 2016E 2017E CAGR Total % General Purpose % Memory % DRAM % SRAM % Flash Memory(NAND, NOR) % Other Memory % Microcomponent % Microprocessor, Embedded % Microprocessor, Computer % Microcontroller, 8/16/32-Bit % Digital Signal Processor % Logic IC % FPGA/PLD % Standard Logic % Display Driver % Analog IC % Amplifier/Comparator % Voltage Regulator/Reference % Data Converter/Switch/Multiplexer % Other Analog % Discrete % Diodes % Transistors % Other Discretes % Optical Sensors % Optoelectronics % Image Sensors, CCD % Image Sensors, CMOS % Nonoptical Sensors % Application Specific % ASIC % Data Processing % Communications % Consumer % Automotive % Industrial % Military and Civil Aerospace % ASSP % Data Processing % Communications % Consumer % Automotive % Industrial % 메리츠종금증권리서치센터 6

7 2. Foundry 시장규모 DRAM 1.1배, NAND 1.3배 Foundry 산업의시장규모는 2013년 404억달러로, 전체반도체시장의 11% 를차지하고있다. 이는삼성전자가이끌고있는 DRAM 산업대비 1.1배, NAND 산업대비 1.3배더욱클뿐만아니라, CPU, BBP(BaseBand Processor), AP(Application Processor), MCU, CIS(CMOS Image Sensor), DDI(Display Driver IC) 등시스템반도체주력시장대비도월등한수준이다.[ 그림 5 참조 ] [ 그림 3] Foundry 산업매출액추이 45 ( 십억달러 ) Foudnry 산업매출액 %YoY( 우 ) 50% % 30% % % % 5-10% % [ 그림 4] 2103 년 Foundry 시장규모 404 억달러, 전체의 11% 차지 Foundry 11% DRAM 9% System LSI 65% 2013년매출액 3,809억달러 Flash Memory 8% SATS 7% [ 그림 5] 2103 년반도체주요산업군별매출액 Foundry 의압승! 50 ( 십억달러 ) Foundry CPU DRAM NAND BB/AP MCU VR CIS DDI RF 메리츠종금증권리서치센터 7

8 3. Foundry 시장점유율 삼성전자 6% 불과, 1위를향해! 그러나, 40조원의 Foundry 산업은 TSMC가시장점유율 50% 를차지하며독과점체제를구축하고있다. 국내의삼성전자는 Apple을주요고객으로확보함에따라 2006년 1 억달러에서 2013년 23억달러로매출액이급증했으나, TSMC 대비는여전히 1/9 수준에불과하다. 삼성전자입장에서는풍부한 Cash를바탕으로한 Foundry 점유율상승을노려볼만하다. [ 그림 6] 삼성전자 Foundry 매출액큰폭성장세기록중 2.5 ( 십억달러 ) 삼성전자 Foundry 매출액 %YoY( 우 ) % % 120% % % % -40% [ 그림 7] 그러나, 2103 년삼성전자점유율여전히 6% 불과 SMIC 5% Others 18% UMC 10% 2013년매출액 404억달러 TSMC 50% Global Foundries 11% SAMSUNG 6% [ 그림 8] 삼성전자 - Foundry 1 위를향해! 50 ( 십억달러 ) 삼성 4 위 삼성 1 위 35.6 삼성 1 위 32.1 삼성 3 위 삼성 9 위 삼성 4위 삼성 1위 Foundry CPU DRAM NAND BB/AP MCU VR CIS DDI RF 메리츠종금증권리서치센터 8

9 4. TSMC 강점 - Capa 경쟁력에따른풍부한 IP 보유 그동안은 TSMC 는 Capa 및 IP 경쟁력을바탕으로시장을압도해왔다. [ 그림 9] 의반도체제조 Flow를보면, Fabless 업체는 1) 칩사양서 와 2)Fab DB(IP Blocks, Cell Library 등 ) 를제공받은후, 이를이용하여설계한칩의생산을 Foundry 업체에게위탁한다. 이때 Fabless 업체는제공받는 Fab DB가풍부할수록설계기간이단축되고개발비를절감할수있기때문에, DB가풍부한 Foundry 업체에게외주생산을집중하는것이일반적이다. TSMC의경우그동안 Capa 확대 보유 IP 증가 Fabless 고객확대 Capa 확대 의선순환구조속에서큰폭의성장을기록했다고판단한다. 앞서얘기한 Fab DB 중대표격인 IP Block들은 Foundry에서자체생산하는경우도있지만, 대부분 Synopsys, Imagination Technologies, Cadence 등과같은 IP 설계전문업체 로부터제공받게된다. IP 설계전문업체는영세한규모이다보니자사의설계역량을대형 Foundry사에집중할수밖에없었고, 자연스레최대 Capa를보유한 TSMC의 IP 보유량은경쟁사를압도해왔다.[ 그림 11 참조 ] Fabless 업체들의제조위탁도당연히 TSMC로집중될수밖에없었다는판단이다. [ 그림 9] 반도체제조흐름도분석 자료 : 메리츠종금증권리서치센터 [ 그림 10] Foundry 업체별 Capa 현황 TSMC 압도적! [ 그림 11] Foundry 업체별 IP 보유현황 TSMC 압도적! 700 (WSPM) 6,000 ( 개 ) , , TSMC Samsung GF UMC SMIC 주 : WSPM(Wafer Start Per Month) 는한달간 Wafer 투입량, 12 인치기준 0 TSMC Foundry 1 Foundry 2 Foundry 3 자료 : 업계메리츠종금증권리서치센터 메리츠종금증권리서치센터 9

10 참고로, 반도체 IP(Intellectual Property, 지적재산권 ) 란미리설계되어제공되는 Block 으로, 설계자들은 Core 부분을직접설계한후제공받은 IP를붙여전체 Chip Design Block을완성한다. 과거에는 IP 역시설계자들이직접만들었었지만, Chip 설계의복잡도급증 과 제품수명주기축소에따른 Time-to-Market의중요성증대 의영향으로 IP 를제공받아사용하는추세가지속되고있다. [ 그림 12] ASIC Design 에사용되는 IP 종류 자료 : Toshiba, 메리츠종금증권리서치센터 [ 그림 13] Mobile AP 에사용되는 IP 종류 자료 : 삼성전자, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 10

11 [ 그림 14] 반도체 Design 에사용되는 IP 개수 지속증가중 200 ( 개 ) Number of IP Blocks per Design nm 65nm 45nm 28nm 20nm 자료 : IBS, 메리츠종금증권리서치센터 [ 표 4] 반도체 Design IP 업체현황 - 대부분이중소기업 ( 단위 : 백만달러 ) Share ARM Holdings , % Synopsys % Imagination Technologies % Cadence % Silicon Image % Ceva % Sonics % Rambus % ememory Technology % Vivante % Faraday % Mentor Graphics % Kilopass Technology % Discretix % Sarnoff % VeriSilicon % L&T Infotech % Memoir Systems % Vitesse Semiconductor % Arasan Chip Systems % Others % Total 2, ,456.7 메리츠종금증권리서치센터 11

12 [ 그림 15] TSMC 의 IP Alliance 및 IP Qualification Program 자료 : TSMC, 메리츠종금증권리서치센터 [ 그림 16] TSMC 의 Ecosystem Summary 자료 : TSMC, 메리츠종금증권리서치센터 [ 그림 17] TSMC 의 Design Solutions Roadmap 16nm FinFET 16nm Custom Design 3DIC Design Solutions Advanced PPA N20 DPT CoWos Advanced PPA DPT CoWos Advanced PPA DFM DFM DFM DFM Low Power Low Power Low Power Low Power Low Power Signal/Power Integrity Signal/Power Integrity Signal/Power Integrity Signal/Power Integrity Signal/Power Integrity Signal/Power Integrity Timing Closure Timing Closure Timing Closure Timing Closure Timing Closure Timing Closure Timing Closure 자료 : TSMC, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 12

13 III. Foundry 산업 Paradigm Shift! 1. 변화의바람 - 20nm급미세공정도입 2H14부터주력수요가 28nm이하로이동됨에따라, 영원할것같던 Foundry 산업내의변화가예상된다. [ 그림 18] 에서볼수있는것처럼, 시스템성능요구를맞추기위한 Foundry 수요업체의미세공정도입이필수적이기때문이다. [ 그림 18] System 및 Semiconductor Requirements 자료 : 메리츠종금증권리서치센터 공정미세화가필요한이유는반도체에사용되는 Transistor의전력소모감소와동작속도 (Transistor Performance) 증가가가능해지기때문이다. 공정미세화가진행되면 1) 동작전압이낮아지고 2)Channel Length가줄어들게되는데, 1) 낮아진동작전압은전력소모를감소시키며 2) 줄어든 Channel Length는속도향상으로직결된다. [ 그림 19] 공정미세화 Semiconductor Requirements 충족 자료 : Intel, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 13

14 [ 그림 20] 반도체공정미세화 동작전압감소 전력소모감소 자료 : Intel, 메리츠종금증권리서치센터 [ 그림 21] 공정미세화 Transistor 의 Channel Length 감소 동작속도증가, 전력소모감소 주 : Channel Length 는 Gate 아래있는 Source 와 Drain 거리 자료 : 메리츠종금증권리서치센터 [ 그림 22] FinFET 공정 Transistor 의 Active Voltage, Leakage Current 감소 동작속도증가, 전력소모감소 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 14

15 2. Foundry 주력수요의이동 Foundry 산업의주력수요가 2H14 부터 20nm 이하공정으로빠르게전환될전망이다. Foundry의주력수요는 Apple과 Fabless 상위 10개업체로부터온다. 2013년기준, Foundry의고객비중은 Fabless 업체가 78%, Apple의 Mobile AP 외주생산이 5% 를각각차지했다. 이중 Fabless 산업은상위 10개업체가전체의 59% 비중을차지하고있기때문에, Foundry 산업매출액의 50% 는 Apple과 Fabless 상위 10개업체라는분석이나온다. [ 그림 23] Foundry 고객별매출비중 2013 년 Apple + Fabless 가 83% 차지 Fabless IDM System/OEM 100% 2% 5% 7% 8% 8% 9% 9% 90% 18% 17% 17% 18% 17% 17% 80% 17% 70% 60% 50% 40% 80% 78% 76% 75% 75% 75% 74% 30% 20% 10% 0% E 2015E 2016E 2017E 2018E [ 표 5] 글로벌 Fabless 산업현황 ( 단위 : 십억달러 ) 순위 Fabless 업체국적 M/S 1 Qualcomm 미국 % 2 Broadcom 미국 % 3 AMD 미국 % 4 MediaTek 대만 % 5 Marvell Technology Group 미국 % 6 Nvidia 미국 % 7 Xilinx 미국 % 8 LSI 미국 % 9 Altera 미국 % 10 Omnivision 미국 % 11 Novatek 대만 % 12 Aptina 미국 % 13 MStar Semiconductor 대만 % 14 Spreadtrum Communications 중국 % 15 CSR 유럽 % 16 Realtek Semiconductor 대만 % 17 Shenzhen HiSilicon 중국 % 18 Seoul Semiconductor 한국 % 19 Dialog Semiconductor 유럽 % 20 Cirrus Logic 미국 % - Others N/A % - Total N/A 주 : 파란색박스는현재 45nm 이하미세공정사용업체 향후 20nm 이하공정전환예상 메리츠종금증권리서치센터 15

16 Foundry의주력수요를이루는업체들이 2H14년부터 20nm이하공정도입을큰폭으로확대할전망이다. 이들업체의전반산업이 Smartphone, Tablet PC, Server 등지속적인미세공정적용이필요하기때문이며, 해당업체들의공정이동에따라 Foundry 미세공정의수요가 2016년 240억달러 (CAGR +34%, 매출비중 49%) 로급증할것으로예상된다. [ 표 6] 20nm 이하공정적용예상업체 대부분 Fabless 매출액상위업체 Products Application Apple Application processor Smartphone, tablet PC Qualcomm Baseband/Application Processor Smartphone, tablet PC, Server Broadcom Networking processor Server AMD CPU, APU, GPU PC, Server MediaTek Baseband/Application Processor Smartphone, tablet PC Marvell Application/networking processor Smartphone, Server Nvidia GPU, application processor, CPU PC, Smartphone, tablet PC, Server Xilinx FPGA, PLD Industrial Equipment, Server Altera FPGA, PLD Industrial Equipment, Server Spreadtrum Smartphone chip Smartphone Applied Micro CPU Server Calxeda CPU Server Cavium Networking processor Server Freescale Application processor Tablet PC Oracle CPU Server Renesas Application processor Smartphone ST-Ericsson Smartphone chip Smartphone Tilera CPU Server TI Application processor Smartphone, tablet PC Vitesse Networking processor Server 자료 : 메리츠종금증권리서치센터 [ 그림 24] 반도체종류별 Process Migration 추이및전망 자료 : 업계, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 16

17 [ 그림 25] Foundry 미세공정 Capa 년 20% 로비중증가전망 8,000 7,000 ( 천장 / 월, 12" Eq) ~180nm 130nm~90nm 65nm ~ 45nm 32nm ~ 28nm 20nm ~ 14nm 32nm ~ 14nm 비중 ( 우 ) 60% 6,000 5,000 40% 4,000 3,000 20% 20% 2,000 1, E 2015E 2016E 2017E 2018E 0% [ 그림 26] Foundry 미세공정매출액 년 49% 로비중급증전망 60 ( 십억달러 ) ~180nm 130nm~90nm 65nm ~ 45nm 32nm ~ 28nm 20nm ~ 14nm 32nm ~ 14nm 비중 ( 우 ) 60% 50 49% 40 40% % E 2015E 2016E 2017E 2018E 0% [ 그림 27] 2015 년 20nm/14nm 적용한 Application 별매출액비중 NB/Server 3% GPU, CPU, Etc 16% Tablet PC 20% Smart phone 61% 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 17

18 3. 공급은삼성전자, GlobalFoundries, TSMC 로제한적 증가하는 20nm급수요에반해공급가능업체는삼성전자, GlobalFoundries, TSMC에국한되어, 해당업체를중심으로한점유율변화가예상된다 28nm이하설비투자비용급증으로인한산업내진입장벽이발생할전망이다. Foundry 의 Fab 설비투자비용은 65nm 29억달러에서 28nm 58억달러 20nm 90억달러 14nm FinFET 102억달러 로급증할것으로예상되어, 투자가능한업체는현금흐름이풍부한삼성전자와 TSMC, 그리고 ATIC가최대주주로있는 GlobalFoundries 뿐이다.[ 그림 29 참조 ] 업계에따르면, ATIC는향후 2년간 GlobalFoundries에 100억달러의투자비용을지원해줄예정이다. [ 그림 28] 반도체미세공정별 CapEx: 65nm 29 억달러 14nm 102 억달러급증 ( 십억달러 ) Fab Cost Process Development Cost IC DesignCost nm 90nm 65nm 45nm 28nm 20nm 14nm 자료 : 업계, 메리츠종금증권리서치센터 [ 그림 29] 20nm 이하설비투자가능업체 삼성전자, GlobalFoundries, TSMC 뿐! 현금및현금성자산 Free Cash Flow ATIC 15~16 년 $100 억투자예정 nm CapEx 81 억달러 TSMC 삼성전자 Globalfoundries UMC SMIC 주 : ATIC 는 아부다비국부펀드무바달라 로운영되며 GlobalFoundries 지분 100% 소유중임주 : 상기금액은 2014 년기준으로, 삼성전자는당사추정치이며, 나머지는컨센서스기준임자료 : Bloomberg, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 18

19 공정개발시간급증으로당장투자를집행하더라도제품생산까지최소 2년이소요되기때문에후발업체의진입은사실상불가능하다고판단한다. [ 그림 30] 에서확인할수있듯이, 반도체개발기간은 45nm 92주에서 14nm 171주로급증하고 28nm 공정의제품생산을위해서는 2년여의기간이필요하다. 그러므로, 향후후발업체의투자여력이충분해진다고하더라도시장진입이단기간내어려울것으로예상된다. 선두업체들의 10nm급공정도입또한예상되므로, 산업내진입장벽은더욱공고해질전망이다. 참고로, Qualcomm, Apple, MediaTek, Broadcom, AMD 등은자사의주력제품생산을위해 40K/ 월 ~80K/ 월 의 Wafer Capa를사용하기때문에, Foundry 업체입장에서해당고객을확보하기위해서는 2년간최소 8조원의 CapEx가필요하게된다. [ 그림 30] 공정별제품 Ramp-up 기간 (Weeks) Library and IP Qualification Design Implementation DFM Steps Prototypes Prototype Validation nm 28nm 20nm 16/14nm 자료 : IBS, 메리츠종금증권리서치센터 [ 그림 31] Logic 반도체 Tech Roadmap: 미세화지속전망 자료 : 삼성전자, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 19

20 4. 삼성전자 Foundry 점유율상승 16년매출액 7조원전망높아진진입장벽속에서 2015년부터는삼성전자가시장을 Outperform할것으로전망한다. GlobalFoundries와의 Fab Sync로미세공정내 IP 경쟁력이대폭향상되어, Qualcomm, Broadcom, AMD 등의고객을확보해성공할것으로예상하기때문이다. Fab Sync 전략은장비, 소재, 기술등을동일하게만드는것으로, 고객들은동일한설계로양사의 Fab을이용할수있다. 이결과, 미세공정내삼성전자와 GlobalFoundries의 Capa 총합이 TSMC를넘어서게되어, 삼성전자의 IP 경쟁력역시큰폭으로증가할전망이다. 앞서설명한바와같이 IP 경쟁력은고객사를자연스레불러들인다. [ 그림 32] 2013 년 20nm~14nm Capa 점유율 [ 그림 33] 2015 년 20nm~14nm Capa 점유율 UMC 1% GF 15% GF 24% TSMC 39% TSMC 85% SAMSUNG 36% [ 그림 34] 2013 년 32nm~28nm Capa 점유율 [ 그림 35] 2015 년 32nm~28nm Capa 점유율 GF 12% UMC 10% SMC 2% GF 14% UMC 9% SMC 3% SAMSUNG 37% TSMC 39% SAMSUNG 33% TSMC 41% 메리츠종금증권리서치센터 20

21 [ 그림 36] ] 20nm 이하공정적용 삼성전자점유율상승전망! GF 24% UMC 1% 2016 년매출액 136 억달러 TSMC 39% SAMSUNG 36% [ 그림 37] Foundry Value Chain Capa 에따른 Service 품질향상 자료 : 메리츠종금증권리서치센터 [ 그림 38] 반도체종류별 Process Migration 추이및전망 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 21

22 [ 표 7] Foundry 산업 Tech Node 별 Capa 추이및전망 ( 단위 : 천장 / 월, 12 Eq.) E 2015E Capacity 32nm ~ 14nm TSMC Samsung GlobalFoundries UMC SMIC nm ~ 45nm TSMC Samsung 181 GlobalFoundries UMC SMIC ~130nm TSMC Samsung GlobalFoundries UMC SMIC M/S 32nm ~ 14nm TSMC 0% 23% 46% 45% 40% Samsung 0% 62% 32% 30% 34% GlobalFoundries 100% 15% 13% 16% 18% UMC 0% 0% 8% 8% 6% SMIC 0% 0% 2% 2% 2% 90nm ~ 45nm TSMC 42% 55% 41% 41% 39% Samsung 28% GlobalFoundries 3% 5% 12% 14% 14% UMC 16% 23% 20% 20% 20% SMIC 11% 17% 27% 26% 27% ~130nm TSMC 49% 50% 49% 49% 49% Samsung GlobalFoundries 20% 21% 22% 21% 21% UMC 27% 25% 24% 25% 25% SMIC 4% 4% 5% 5% 5% 메리츠종금증권리서치센터 22

23 삼성전자 Foundry 부분의매출액은 2011년 5억달러에서 2013년 23억달러로급증했으나, 2014년에는주요고객사인 Apple의 20nm 공정전환에따라해당 Capa가부족한삼성전자의매출하락은불가피할전망이다. 그러나, 2015년부터주요 Fabless 업체들의 16nm/14nm FinFET 공정전환에따라삼성전자점유율이큰폭으로상승해, 2016년매출액 69억달러을기록하며실적성장의한축으로부상할전망이다. [ 그림 39] 삼성전자 Foundry 매출액추이및전망 ( 십억달러 ) 삼성전자 Foundry 매출액 %YoY( 우 ) % 150% % E 2015E 2016E 50% 0% -50% [ 표 8] 삼성전자반도체사업부실적추이및전망 ( 단위 : 십억원 ) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E 2015E 매출액 8,576 8,679 9,742 10,439 9,388 9,302 10,281 10,362 37,437 39,334 44,039 %QoQ/%YoY -11% 1% 12% 7% -10% -1% 11% 1% 7% 5% 12% Memory 5,120 5,699 6,370 6,518 6,288 6,630 6,900 6,836 23,707 26,654 29,217 DRAM 2,794 3,240 3,704 4,129 3,969 4,156 4,264 4,227 13,867 16,615 17,781 NAND 2,326 2,459 2,666 2,389 2,320 2,474 2,636 2,609 9,840 10,039 11,436 System LSI 3,291 2,832 3,296 3,521 2,899 2,536 3,217 3,347 12,940 11,998 14,131 영업이익 1,072 1,759 2,063 1,995 1,946 1,892 2,085 2,037 6,888 7,960 9,534 %QoQ/%YoY -25% 64% 17% -3% -2% -3% 10% -2% 65% 16% 20% Memory 1,029 1,611 1,905 1,995 1,894 1,932 1,916 1,835 6,540 7,577 8,087 DRAM ,185 1,445 1,394 1,434 1,394 1,292 4,189 5,514 5,251 NAND ,350 2,063 2,836 System LSI ,447 영업이익률 12% 20% 21% 19% 21% 20% 20% 20% 18% 20% 22% Memory 20% 28% 30% 31% 30% 29% 28% 27% 28% 28% 28% DRAM 21% 30% 32% 35% 35% 35% 33% 31% 30% 33% 30% NAND 19% 26% 27% 23% 22% 20% 20% 21% 24% 21% 25% System LSI 2% 4% 5% 3% 2% -2% 5% 6% 4% 3% 10% 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 23

24 APPENDIX 1 APPENDIX I 시스템반도체 Basic 24 1 반도체의제품별분류와 Value chain별분류 25 1) 제품별분류 : 메모리반도체와시스템반도체 25 2) Value chain별분류 : IDM, Fabless, Foundry, Packaging 26 2 메모리와시스템반도체, 핵심경쟁력이다르다 28 1) 메모리반도체경쟁력은미세공정전환을통한원가절감 28 2) 시스템반도체는설계능력이핵심 29 3) 시스템반도체시장은메모리반도체의 3.2배 30 4) 시스템반도체시장은전방 IT Set업체와높은상관성을가진다 30 3 세계시스템반도체산업현황및전망 32 1) Fabless, Packaging 후공정업체매출비중이높아지고있다 32 2) Fabless 산업분석 34 3) Foundry 산업분석 37 4) Packaging 산업분석 40 2 Appendix II 반도체산업 Key Data 메리츠종금증권리서치센터 24

25 시스템반도체 Basic 1. 반도체의제품별분류와 Value chain별분류반도체는크게 2가지기준으로분류된다. 제품별분류와 value chain별분류가그것이다. 제품별분류는제품기능을기준으로메모리반도체와시스템반도체로구분하며, Value chain별분류는제품생산 process를기준으로 IDM( 종합반도체회사 ), 팹리스, 파운드리, 패키징 / 테스트와같은후공정으로구분한다. 흔히시스템반도체를팹리스와파운드리로혼동하는경우가있으나, IDM업체가시스템반도체를생산할수도있고팹리스와파운드리업체가메모리반도체를생산할수도있다. 1. 제품별분류 : 메모리반도체와시스템반도체우선반도체는형태에따라 Discrete소자와집적회로 (IC, Integrated Circuit) 로나뉜다. Discrete 소자는 On-off 기능을하는다이오드 (Diode), 증폭기능을하는트랜지스터 (Transistor) 를의미하는데, e기전자제품들은저항, 캐패시터와같은전자제품과다이오드, 트랜지스트와같은 Discrete 소자로구성되었다. 그러나전자제품이복잡해질수록연결해야하는전자부품과 Discrete 소자의수가기하급수적으로증가했고이연결점들이수많은고장의원인이되었다. 이를해결하기위해수십억개의전자부품과 Discrete 소자들을한개의칩속에집적한것이바로집적회로 (IC) 이다. IC는다시메모리반도체와시스템반도체로분류된다. DRAM과 NAND Flash등이메모리반도체에속하며, PMIC(Power Management IC), Micro Processor, Image Sensor, DDI(Display Driver IC), Media IC, Touch Controller, T-Con(Timing Controller), DMB, AVN등이시스템반도체에속한다. [ 그림 1] 반도체제품별분류 ( 제품기능기준 ) 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 25

26 2. Value chain별분류 : IDM, Fabless, Foundry, Packaging 반도체설계와제조는한업체가모두진행하기도하지만각과정별로특화된기업들도있다. 이를분류하면종합반도체회사 (IDM), 설계전문업체 (Fabless), 위탁생산전문업체 (Foundry), 패키징및테스트전문업체 (SATS) 로나뉜다. 이중 Fabless와 Foundry를전공정, 패키징과테스트를후공정으로각각구분한다. 1) 종합반도체회사 (IDM: Integrated Device Manufacturer) 는아래 [ 그림2] 의 (1) 회로설계부터 (6) 판매까지전과정을총괄하며, 경우에따라회로설계와반도체제조의핵심과정을제외한나머지는아웃소싱을주기도한다. Fab 뿐만아니라제조, 조립, 테스트장비를모두갖추고있어야하므로대규모투자를통한 High risk, High return 의비즈니스형태를가진다. 대표적인기업으로 Intel, Toshiba, 삼성전자, SK하이닉스등이있다. 2) 설계전문업체 (Fabless) 는말그대로 Fab을소유하지않고 [ 그림2] 의 (2) 회로설계와 (6) 판매만담당한다. 즉, 회로를설계한후제조와패키징 / 테스트는아웃소싱하고생산물에자신의브랜드를붙여판매한다. Fab 생산설비를보유하지않기때문에설비투자가작으며고정비의대부분은연구개발비와인건비가차지한다. 대표적인기업으로 Qualcomm, Broadcom, Mediatek, 실리콘웍스등이있다. 3) 수탁제조업체 (Foundry) 는 Fab 생산설비를보유하여 (3) 반도체웨이퍼생산을주로담당하지만, 경우에따라 (4) 패키징과테스트까지사업영역에포함하기도한다. 대표적인기업으로 TSMC, GlobalFoundries, UMC, SMIC, 동부하이텍등이있다. 4) 패키징및테스트 (SATS) 업체는 Fab-out된웨이퍼를가지고 (4) 패키징과테스트공정을담당한다. 패키징 (Packaging) 또는어셈블리 (Assembly) 회사라고도한다. 대표적인기업으로 Amkor, ASE, 시그네틱스, 하나마이크론, STS반도체등이있다. [ 그림 2] 반도체 Value Chain 별분류 : IDM, Fabless, Foundry, Packaging 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 26

27 [ 그림 3] 반도체 Value Chain 별분류 : IDM, Fabless, Foundry, Packaging 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 27

28 2. 메모리와시스템반도체, 핵심경쟁력이다르다 1. 메모리반도체경쟁력은미세공정전환을통한원가절감메모리반도체의대표품목인 DRAM은 1개의트랜지스터와 1개의캐패시터로구성된셀 (Cell) 의반복배열로만들어진다. 한개의셀은 1 bit의데이터를저장할수있으므로셀 16개가배열되면 16 bit, 10억개가배열되면 1Gb의데이터를저장할수있다. 따라서현재주력제품인 4Gb DRAM은 1개의트랜지스터와 1개의캐패시터로구성된셀 40억개가집적된회로 (IC) 이다. 40억개의셀이반복배열된 4Gb DRAM의원가경쟁력은미세공정전환에의해좌우된다. 반도체공정은셀에들어가는트랜지스터의게이트 (Gate) 선폭에따라 40nm공정, 30nm공정으로명칭되는데, 게이트선폭이 40nm에서 30nm로축소되면셀 size 감소에따른원가절감이가능해진다. NAND Flash 원가경쟁력도이와비슷한원리에의해좌우된다. [ 그림 4] 16 개 Cell DRAM 구조 = 16bit WL WL WL WL 자료 : 한국산업기술진흥원, 메리츠종금증권리서치센터 BL BL BL BL [ 그림 5] DRAM 미세공정전환 : 트랜지스터의 Gate 선폭축소가핵심 Source (S) Source region Gate (G) Gate 선폭 Drain (D) B Drain region 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 28

29 2. 시스템반도체는설계능력이핵심반면, 시스템반도체는미세공정능력보다설계능력이우선시된다. 시스템반도체경쟁력은최소한의소자를이용해서 Set업체가요구하는스펙 (Specification) 을충족하도록칩을설계하는능력이다. 동일한성능을가진제품이라도설계능력에따라완전히다른설계로구성할수있으며, 제조원가또한크게차이가날수있다. 아래 [ 그림6] 과 [ 그림 7] 은시스템반도체설계도면의한예로써, 동일한기능을수행하는칩이설계능력에따라전혀다르게설계될수있음을보여준다. 아래그림에서는아주단순한회로를나타냈지만, 실제시스템반도체설계는훨씬더복잡하게구성되어있기때문에설계자의능력에따라제품설계에많이차이가난다. 따라서시스템반도체육성사업은우수한설계인력확보가핵심일수밖에없다. 시스템반도체선두권인대만의경우, 지난 20여년간실리콘밸리출신의자국엔지니어를 12만명이나귀국하도록지원, 유도하여세계 2위의팹리스강국으로도약할수있었다. 대만의성공은시스템반도체성장을위한설계인력확보의중요성을잘보여준다. [ 그림 6] 시스템반도체설계도 1: 동일한기능의복잡한설계 OUTA 자료 : 메리츠종금증권리서치센터 [ 그림 7] 시스템반도체설계도 2: 동일한기능의심플한설계 OUTA V1 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 29

30 3. 시스템반도체시장은메모리반도체의 3.2배 2013년기준시스템반도체의시장규모는 2,212억달러로전체반도체시장의 70.1%, 메모리반도체시장의 3.2배를차지한다. 2008년부터 2013년까지연평균성장률은 6.4% 로견조한성장을지속했다. 메모리반도체, Discrete소자, 반도체장비, Foundry, Packaging, 2차전지등반도체관련산업의 2013년시장규모가 1,934억달러라는점을감안하면, 시스템반도체시장이전체반도체관련시장에서차지하는비중을가늠할수있다. 4. 시스템반도체시장은전방 IT Set업체와높은상관성을가진다시스템반도체시장은 PC를비롯한디지털 TV, 스마트폰, 자동차등전방산업성장과함께안정적인성장세를지속하고있다. 전반적인 IT 수요부진하에서도스마트기기를중심으로수요성장세가지속되고있고, 자동차에서각종전자장치탑재도높아지고있어향후에도시스템반도체시장의성장세가지속될전망이다. Gartner, Display Search 등시장조사기관은 2007년부터 2014년까지이들전방산업의전체출하량이연평균 10.3% 의높은성장률을보일것으로전망한다. 아울러, 1990년이후이들전방산업매출성장률과반도체매출성장률의상관계수는 0.86으로매우높게나타나고있다. [ 그림 8] 글로벌반도체시장규모 (2013 년 ) Nonoptical Sensors 2.1% Discrete 소자 5.6% 메모리반도체 22.2% 시스템반도체 70.1% [ 그림 9] 시스템반도체시장규모 : 관련산업매출총합과비슷할정도로크다 500 ( 십억달러 ) 시스템반도체 Foundry Packaging 메모리반도체 Discrete 소자반도체장비 Testing '08 '09 '10 '11 '12 '13 '14E 메리츠종금증권리서치센터 30

31 [ 그림 10] 시스템반도체는전방산업출하량과매우높은상관성 ( 백만개 ) Desktop PC Portable PC LCD TV Smart Phone Feature Phone Vehicle 2,800 System IC( 우 ) ( 십억달러 ) 250 2, ,400 CAGR: 10.3% '07 '08 '09 '10 '11 '12 '13 '14E 0 자료 : Gartner,DisplaySearch, 메리츠종금증권리서치센터 [ 그림 11] IT 제품과반도체매출액의상관계수는 0.86 으로높은상관성확인 (1990 ~ 2013) 50% 25% Electronic System 37% 14% Semiconductor 31% 0% 16% -25% -14% -32% -50% 메리츠종금증권리서치센터 31

32 3. 세계시스템반도체산업현황및전망 1. Fabless, Packaging 후공정업체매출비중이높아지고있다 Value chain별로반도체시장을분류하면, IDM 매출비중이 2000년 82.2% 에서 2013년 61.7% 로낮아진반면, Fabless, Foundry, Packaging 매출비중은모두증가했다. 특히, Fabless 매출액은연평균 13.0% 의높은성장률을보이면서 2000년 8.1% 에서 2013년 22.3% 로반도체산업내매출비중이급격히높아지는추세에있다. 2013년 IDM 매출액은 2,315억달러 (+3.4%YoY) 로전년대비소폭상승하였고, Fabless 835억달러 (+10.6% YoY), Foundry 404억달러 (+16.4%YoY), Packaging 196억달러 (+5.8%YoY) 를기록했다. 2013년매출액을기준으로상위 30개반도체업체를국가별로분류하면, 미국 15개, 일본 5개, 대만 3개, 유럽 3개, 한국 2개로써미국과일본이반도체강국의위치를유지하고있으며, Value chain별로는 IDM 18개, Fabless 7개, Foundry 5개로써대규모설비투자를동반하는 IDM 업체비중이여전히높다. [ 그림 12] Value chain 별반도체시장규모추이 250 ( 십억달러 ) IDM Fabless Foundry Packaging CAGR('00~'13): (2.3%) (13.0%) (8.8%) (8.5%) '00 '01 '02 '03 '04 '05 '06 '07 '08 '09 '10 '11 '12 '13 '14E [ 그림 13] Fabless 와 Packaging 비중이증가하는추세 25% 82.2% Fabless( 좌 ) Foundry( 좌 ) Packaging( 좌 ) IDM( 우 ) 22.3% 100% 20% 80% 61.8% 15% 60% 10.9% 10% 8.1% 40% 5% 0% 6.4% 3.2% 5.0% '00 '01 '02 '03 '04 '05 '06 '07 '08 '09 '10 '11 '12 '13 '14E 20% 0% 메리츠종금증권리서치센터 32

33 [ 표 1] 세계반도체매출액순위 : 매출액기준, IDM 업체비중이여전히높다 ( 단위 : 십억달러 ) 순위 Comapny 비즈니스모델 '13M/S 1 Intel( 미 ) IDM % 2 Samsung( 한 ) IDM % 3 TSMC( 대 ) Foundry % 4 Qualcomm( 미 ) Fabless % 5 SK Hynix( 한 ) IDM % 6 Micron ( 미 ) IDM % 7 Toshiba( 일 ) IDM % 8 Texas Instruments( 미 ) IDM % 9 Broadcom( 미 ) IDM % 10 STMicroelectronics( 유 ) IDM % 11 Renesas( 일 ) Fabless % 12 AMD( 미 ) Fabless % 13 Infineon( 유 ) IDM % 14 SanDisk( 미 ) Fabless % 15 NXP( 유 ) IDM % 16 MediaTek( 대 ) IDM % 17 Globalfoundries( 미 ) Foundry % 18 UMC( 대 ) Foundry % 19 Freescale( 미 ) IDM % 20 Sony( 일 ) IDM % 21 Marvell( 미 ) IDM % 22 Nvidia( 미 ) Foundry % 23 ON Semiconductor( 미 ) IDM % 24 Analog Devices( 미 ) Fabless % 25 Rohm( 일 ) Fabless % 26 Elpida Memory( 일 ) Fabless % 27 Maxim( 미 ) IDM % 28 Xilinx( 미 ) IDM % 29 SMIC( 중 ) Foundry % 30 Panasonic( 일 ) IDM % - Others N/A % 메리츠종금증권리서치센터 33

34 2. Fabless 산업분석 Fabless는생산설비가없는설계전문업체로서원가가대부분연구개발비와인건비로구성된다. 원가에서고정비가차지하는비중이높기때문에고정비를넘는매출액이대부분이익으로환원되므로일정매출이상에서는이익률이급격히상승하는특징이있다. 매출증가가실적개선으로직결되는것이다. 세계 Fabless 매출액은 2000년부터연평균 13.0% 의높은성장률을보이면서, 반도체산업내에서의매출비중이 2000년 8.1% 에서 2013년 21.9% 로급격히높아졌다. 또한, 매출액 10억달러이상의기업수도 2009년 9개에서 2013년 16개로늘어나고있다. 전반적으로매출이증가하면서규모의경제달성을통한대형화가진행되는것으로볼수있다. 주요업체별로살펴보면, 최근스마트폰과 Tablet PC의성장세에힘입어모바일통신칩을공급하는 Qualcomm, Broadcom, AMD, Marvell, MediaTek이상위 5위권을휩쓸고있다. 국가별로는상위 20개업체중에서미국 55.6%, 대만 9.7%, 유럽 2.2% 로미국이압도적인강세를보이고있다. TSMC, UMC 등파운드리업체와협업시스템을갖춘대만 Fabless 업체들도매출액 10억달러클럽에 4개나이름을올리는등눈에띄게성장하고있다. [ 표 2] Fabless 시장점유율 : 매출액 10억달러이상업체전체의 67% 차지 ( 단위 : 십억달러 ) 순위 Fabless 업체 국적 M/S 1 Qualcomm 미국 % 2 Broadcom 미국 % 3 AMD 미국 % 4 MediaTek 대만 % 5 Marvell Technology Group 미국 % 6 Nvidia 미국 % 7 Xilinx 미국 % 8 LSI 미국 % 9 Altera 미국 % 10 Omnivision 미국 % 11 Novatek 대만 % 12 Aptina 미국 % 13 MStar Semiconductor 대만 % 14 Spreadtrum Communications 중국 % 15 CSR 유럽 % 16 Realtek Semiconductor 대만 % 17 Shenzhen HiSilicon 중국 % 18 Seoul Semiconductor 한국 % 19 Dialog Semiconductor 유럽 % 20 Cirrus Logic 미국 % - Others N/A % 메리츠종금증권리서치센터 34

35 세계 Fabless 1위업체 Qualcomm을통해 Fabless 시장동향과향후진행방향을가늠할수있다. 2013년 Qualcomm은매출액 172억달러, 세계시장점유율 20.6% 를기록했는데, 이러한 Qualcomm도 1985년설립이후 1992년까지계속영업적자를기록했었다. 그러나단한번도연평균매출대비 20% 에달하는연구개발비용을줄이지않았으며, 심지어 1999년에는당시매출비중이가장높았던통신장비사업을정리할만큼회사의모든역량을 CDMA 통신기술개발에집중했다. 2위업체인 Broadcom도 Qualcomm의성장스토리와크게다르지않다. 결국세계 Fabless 선두업체인 Qualcomm과 Broadcom은자신이우위를갖고있는핵심기술을기반으로이익을창출한뒤, 적극적인 M&A를통해제품영역과고객군을확대하며성장한공통점을가지고있다. 1997년에설립하여세계 4위 Fabless업체로성장한대만 MediaTek도 DVD 플레이어용칩시장에서성장기반을확보한후, 적극적 M&A를통해 TV, 휴대폰용칩기술을획득하면서세계 4위업체로성장했다. [ 그림 14] 2000 년부터반도체산업내 Fabless 매출비중 8.1% 에서 22.0% 로급상승전망 100 ( 십억달러 ) Fabless 매출액 ( 좌 ) 반도체산업내 Fabless 비중 ( 우 ) 25% 80 22% 60 CAGR: 12.7% 15% % '00 '01 '02 '03 '04 '05 '06 '07 '08 '09 '10 '11 '12 '13 '14E 5% [ 그림 15] 세계 Fabless 1 위 Qualcomm 실적추이 : 핸드폰시장성장과함께순이익급증 ( 십억달러 ) 순이익급증 적자지속 매출액당기순이익 ( 우 ) 3,303 1, ,260 ( 백만달러 ) 8,853 10,000 8,000 6,000 4,000 2, ,000 5 핸드폰에탑재시작 -4,000-6,000 0 '91 '92 '93 '94 '95 '96 '97 '98 '99 '00 '01 '02 '03 '04 '05 '06 '07 '08 '09 '10 '11 '12 '13 '14E -8,000 자료 : Bloomberg, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 35

36 [ 표 3] 반도체 Value Chain 별분류 : IDM, Fabless, Foundry, Packaging Fabless 회사 M&A 날짜 피인수회사 M&A 효과 Qualcomm Snap Track GPS 기술확보 Within Technology 시스템컨설팅업체 Iridigm Display 업체 Spike Techology 디자인하우스 Trigenix 모바일 UI 업체 Flarion Technologies 4G 휴대폰기술확보 Elata 휴대폰용컨텐츠관리, 전송용 S/W 기술확보 Berk a na Wireless RF 기술확보 AMD 그래픽사업부 멀티미디어기술확보 Atheros WiFi 기술확보 Sylectus 물류비즈니스관련빅데이터관리기술확보 Rapid Bridge LLC IC 개발기술확보 GestureTek 동작인식기술업체 HaloIPT 전기차무선충전기술업체 DesignArt Networks SoC 인프라솔루션공급기업 Broadcom Mobilink 휴대폰용칩셋확보 Sand Video Video 압축기술확보 Zyray Wireless WCDMA BaseBand Co-Processor 기술확보 Alpha Mosaic 휴대폰용멀티미디어칩셋기술확보 Zeevo, Inc. Bluetooth 해드셋기술확보 Siliquent Technologies 10Gbit 이더넷인터페이스컨트롤러 Athena Semiconductors Digital TV 튜너및 Wifi 기술확보 Sandburst Corporation Ethernet packet switching 을위한 SOC 칩확보 LVL7 Systems 네트워킹 S/W 확보 Octalica 멀티미디어기술확보 Global Locate GPS 칩과 S/W 기술확보 Sunext Design 광학 Disk 기술확보 AMD D-TV 사업부 DTV 프로세서칩및 TV 튜너확보 Dune Networks 고속네트워크스위치기술확보 Teknovus Ethernet Passive Optical Network (EPON) chipsets and software Innovision Research & Technology NFC(Near Field Communication) IP 확보 Beceem Communication 4G LTE/WiMax 기술확보 Gigle Networks 멀티미디어홈네트워킹기술확보 Provigent Ltd. 마이크로웨이브백홀시스템칩업체 SC Square Ltd. 소프트웨어보안업체 NetLogic Microsystems 차세대네트워크기술 BroadLight 광섬유네트워크용칩생산업체 Wisair 무선초광대역전송기술확보 BroadLogic 네트워크관련반도체기업 Renesas Mobile Corporation LTE 모뎀기술확보 MediaTek Tvia( 미 ) 동영상관련기술확보 Pixtel Media Technology( 인도 ) 휴대폰단말기 UI 기술확보 ALi( 대만 ) DVD 장치용프론트엔드 IC 기술확보 Sarnoff( 미 ) TV, DVD 레코더관련기술확보 IBM 의 TV,DVD, 휴대폰용특허 TV, DVD 레코더, 휴대폰관련기술확보 Pollex Mobile S/W( 중 ) 휴대폰용 S/W 기술확보 Inprocomm( 대만 ) 무선 LAN 용칩설계기술확보 Airoha( 대만 ) RF 회로설계기술확보 NuCore( 일 ) 카메라용 ASSP 기술확보 Analog Device 휴대폰사업부 휴대폰용반도체기술확보 이오넥스 ( 한국 ) CDMA 용 Baseband 칩확보 Ralink WiFi Chipset 기술확보 Coresonic 디지털신호처리장치기술확보 Mstar IC 제조기술확보 Marvell Galileo Technology Switching IC 및시스템컨트롤러기술확보 SysKonnect PC 네트워킹업체 Radlan Embedded 네트워킹소프트웨어 Qlogic 하드드라이브사업부 하드드라이브컨트롤러기술확보 UTStarcom 의 SOC 사업부 3G 무선통신기술확보 Avago 의프린터 ASIC 사업부 프린터용 SoC 솔루션확보 Intel 의 Xscale 사업부문 이동통신 /AP 기술확보 PicoMobile Networks IWLAN/IMS 소프트웨어업체 Diseño 스페인 PLC 커뮤니케이션 IC 업체 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 36

37 3. Foundry 산업분석위탁제조업체 Foundry는주문자수요에맞춰반도체웨이퍼생산을담당하면서경우에따라패키징과테스트까지사업영역에포함한다. Foundry는크게 1)TSMC, UMC 등과같이자체설계없이위탁생산만을하는 Pure-play Foundry업체와 2) 삼성전자, TI 등과같이자체설계제품의생산과함께위탁생산도병행하는 IDM Foundry로구분한다. 이중 Pure-play Foundry업체가 Foundry시장의 80% 이상을차지하여전체 Foundry 산업성장을주도하고있다. Foundry산업은 2013년기준상위 3대업체가전체 Foundry 매출액의 71% 를차지할정도로승자독식이강한시장이다. 상위 10대 Foundry업체의국가별비중은대만 4개, 미국 2개, 한국 1개로고루분산되어있으나, 1위 TSMC의매출액이 2위 GlobalFoundries 에비해 4.4배높고세계시장점유율도 50% 를차지할만큼선두업체의집중도가높다. 주요고객은 Qualcomm, Broadcom, Nvidia 등 Fabless업체들과 Freescale, TI 등 IDM업체들이있다. [ 그림 16] Foundry 업체업무흐름도 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 37

38 [ 표 4] 세계 Foundry 시장점유율 ( 단위 : 십억달러 ) Foundry 업체 국적 Foundry Type '13 M/S TSMC 대만 Pure-Play % GlobalFoundries 미국 Pure-Play % UMC 대만 Pure-Play % Samsung 한국 IDM % SMIC 중국 Pure-Play % Powerchip 대만 IDM % Vanguard 대만 Pure-Play % IBM 미국 IDM % Hua Hong 중국 Pure-Play % TowerJazz 유럽 Pure-Play % [ 그림 17] 반도체산업내 Foundry 의비중증가 ( 십억달러 ) 반도체전체매출 Foundry 매출반도체산업내 Foundry 비중 13.4% 15% 12% % % % '01 '02 '03 '04 '05 '06 '07 '08 '09 '10 '11 '12 '13 '14E 6% 3% 0% 메리츠종금증권리서치센터 38

39 Fabless 성장과함께 IDM업체의 Outsourcing 비중확대도향후 Foundry산업의또다른성장동력이되고있다. 미세공정전환에따른신규 Fab 투자금액증가, 공정개발및설계비용증가로인해 IDM업체의고정비부담이증가하기때문이다. 이에따라향후 IDM 업체들은핵심공정, 핵심제품을제외한기타제품들에대해 Outsourcing 비중을점차확대할것으로예상한다. [ 그림 18] 신규 Fab. 투자금액급증추세 6 ( 십억달러 ) Wafer Fab Cost E 자료 : IC Insight, 메리츠종금증권리서치센터 [ 그림 19] 미세공정개발비용증가 [ 그림 20] 미세공정설계비용증가 1,400 ( 백만달러 ) Process Development Cost 1, ( 백만달러 ) IC Design Cost 145 1,200 1, nm 65nm 45nm 32nm 22nm 0 130nm 65nm 45nm 32nm 22nm 자료 : Alixpartners, 메리츠종금증권리서치센터 자료 : Alixpartners, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 39

40 4. Packaging 산업분석반도체 Packaging은반도체칩 Die의 Data Input/Output 단자를외부와연결하는작업이다. 과거반도체가 PC와대형 IT기기위주로성장하던시기에는반도체의 Data Input/Output 단자수가적었기때문에반도체 Package도단순구조의 SOP 타입이주류를형성했다. 그러나스마트폰등각종디지털가전시장이확대되면서 Package 타입도과거보다훨씬복잡해지고종류도다양해지고있다. 이에따라 Packaging 전문업체생산비중도크게증가하고있는데, 지난 1990년에세계반도체출하량중 Packaging 전문업체가소화한비중은 15% 였으나 2013년에는 51% 를차지할정도로급성장했다. [ 그림 21] 반도체 Packaging 시장매출액추이 [ 그림 22] 반도체 Testing 시장매출액추이 25 ( 십억달러 ) 8 ( 십억달러 ) '06 '07 '08 '09 '10 '11 '12 '13 '14E 0 '06 '07 '08 '09 '10 '11 '12 '13 '14E [ 그림 23] 반도체패키징의발전단계 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 40

41 2015년부터는 TSV(Through Silicon Via) 를이용한 DRAM의신규 Packaging 양산이본격화될전망이다. TSV는 Silicon Wafer에 10um 두께의구멍을뚫은후, Cu를채워넣어여러 Wafer를전기적으로연결시키는기술이다. 기존 Wire Bonding을이용한 Packaging 방식을 TSV로변경하게되면, [ 그림 25] 에서확인할수있는것처럼최종 Packaging의사이즈가 -35% 감소할뿐만아니라, 전력소모또한 -50% 감소하며, 반도체동작속도 (Bandwidth) 는 8배로증가할것으로예상된다. [ 그림 24] Wire Bonding 을이용한 DRAM 적층구조 [ 그림 25] TSV 를이용한 DRAM 적층구조 자료 : 메리츠종금증권리서치센터 자료 : 메리츠종금증권리서치센터 [ 그림 26] Wire bonding + Flip Chip 조합의구조 [ 그림 27] TSV 이용한 DRAM 적층 자료 : 업계, 메리츠종금증권리서치센터 자료 : SK 하이닉스, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 41

42 [ 그림 28] 3D TSV: PoP 대비사이즈 -35% 감소, 전력소모 -50% 감소, 동작속도 8 배향상 자료 : 삼성전자, 메리츠종금증권리서치센터 삼성전자는 TSV 기술을이용한 HMC(Hyper Memory Cube) 를개발중에있으며, 2015 년 Server DRAM을시작으로향후 PC 및스마트폰용 DRAM의 Packaging 방식으로확대시킬것으로예상한다. HMC는 DRAM Single Chip을 4개에서 8개를적층한제품으로그동안 CPU 대비뒤-져있던속도가개선되면서 Server System의성능을한단계끌어올릴수있다. [ 그림 29] TSV 를적용한 3D Main Memory 구조 [ 그림 30] HMC 구조및성능분석 자료 : Micron, 메리츠종금증권리서치센터 자료 : 삼성전자, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 42

43 [ 그림 31] 2.5D/3D Packaging Roadmap 자료 : Yole, 메리츠종금증권리서치센터 [ 그림 32] TSV 공정흐름도분석 FAB Wafer Process Post FAB Wafer Process Assembly & Packaging underfil (1) FEOL (5) (9) Back grinding (2) CoW(chip-on-wafer) TSV Formation (6) (10) (3) Passivation BEOL Wafer Level Mold (4) C4 Bump (7) (11) C4 Bump Formation TSV Expossure Glass Detach & Sawing Carrier Glass μ- pad (5) Glue (8) (12) Glass Carrier Attach μ- pad Flip Chip 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 43

44 Appendix II. 반도체산업 Key Data [ 표 1] 주요 Set제품출하량추이및전망 ( 단위 : 백만개 ) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E Shipments Mobile Phone ,713 1,808 1,965 Smart Phone ,249 Feature Phone , Tablet PC Desktop PC Note PC Server LCD/OLED TV MNT %YoY Mobile Phone 6% 6% 6% 5% 12% 11% 7% 5% 0% 6% 9% Smart Phone 39% 49% 46% 34% 37% 31% 23% 17% 43% 41% 26% Feature Phone -15% -20% -22% -20% -13% -11% -13% -13% -17% -19% -12% Tablet PC 123% 53% 32% 26% 19% 26% 24% 13% 90% 47% 19% Desktop PC -12% -9% -6% -3% -3% -4% -3% -5% -4% -8% -4% Note PC -14% -13% -12% -6% 1% -2% -2% -6% -4% -11% -2% Server -1% 4% 2% 3% 4% 3% 3% 4% 2% 2% 4% LCD/OLED TV 4% 4% 1% -4% -3% 0% 2% 5% -1% 0% 1% MNT -9% -6% -14% -19% -15% -14% -6% -1% -11% -12% -10% 자료 : 메리츠종금증권리서치센터 [ 표 2] 주요 Set제품매출액추이및전망 ( 단위 : 십억원 ) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E Revenue Total Mobile Phone Smart Phone Feature Phone Tablet PC Desktop PC Note PC Server LCD/OLED TV MNT %QoQ/%YoY Total 1% 3% 4% 3% 0% -2% -4% -9% 3% 1% 4% Mobile Phone 6% 17% 15% 8% -1% -5% -8% -17% 8% 10% 10% Smart Phone 25% 38% 21% 9% 23% 19% 19% 5% 35% 22% 16% Feature Phone -33% -34% -34% -40% -34% -31% -29% -23% -37% -35% -30% Tablet PC 71% 13% 15% 23% 11% 20% 17% 6% 52% 27% 12% Desktop PC -12% -9% -3% 2% -2% -2% -5% -12% -7% -6% -5% Note PC -15% -14% -10% -5% 4% 0% -4% -11% -6% -11% -3% Server -5% -4% -2% -7% 0% 0% 0% 4% -1% -4% 1% LCD/OLED TV -7% -11% -11% -13% -7% -7% -2% 8% -2% -10% -1% MNT -8% 0% -10% -21% -11% -14% -4% 3% -8% -10% -7% 자료 : 메리츠종금증권리서치센터 메리츠종금증권리서치센터 44

45 [ 표 3] DRAM Supply/Demand ( 단위 : 백만장 / 월, 12인치 Eq.; 백만개, 1Gb Eq.) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E Oversupply Ratio 1.8% 2.2% -1.1% % 2.8% 4.2% 2.4% 8.0% 0.1% 3.2% DRAM Supply 8,553 9,153 9,746 9,759 10,862 11,314 12,211 12,750 29,403 37,226 47,136 Wafer Input DRAM Demand 8,405 8,958 9,851 9,899 10,473 11,010 11,721 12,451 27,223 37,184 45,655 DRAM Supply 8,553 9,153 9,746 9,759 10,862 11,314 12,211 12,750 29,403 37,226 47,136 Samsung 2,763 2,912 3,326 3,858 3,867 4,409 4,762 5,238 10,337 12,777 18,276 SK Hynix 2,338 2,798 2,742 2,375 2,849 3,134 3,353 3,454 7,636 10,253 12,789 Micron Group 2,388 2,718 2,908 2,640 3,011 2,939 3,142 3,299 7,626 11,022 12,390 Nanya ,185 1,382 1,604 Powerchip Winbond DRAM Demand 8,405 8,958 9,851 9,899 10,473 11,010 11,721 12,451 27,223 37,184 45,655 Server 1,125 1,304 1,348 1,537 1,510 1,632 1,699 1,856 3,632 4,940 6,697 Desktop PC 1,153 1,166 1,118 1,149 1,181 1,197 1,268 1,306 4,751 4,616 4,952 Note PC 1,538 1,575 1,552 1,571 1,644 1,650 1,800 1,818 6,889 6,339 6,913 Mobile Phone 1,300 1,550 1,688 1,815 2,106 2,391 2,499 3,083 3,826 6,495 10,078 Tablet PC , ,607 2,812 LCD/OLED TV ,038 1,427 1,904 Memory Module ,923 2,277 2,753 Graphic Card B/G(%QoQ/%YoY) DRAM Supply 6% 7% 6% 0% 11% 4% 8% 4% 29% 27% 27% Samsung -5% 5% 14% 16% 2% 14% 8% 10% 27% 24% 43% SK Hynix 3% 20% -2% -13% 20% 10% 7% 3% 52% 34% 25% Micron Group 14% 14% 7% -9% 0% -2% 7% 5% 12% 45% 12% Nanya -33% -7% -2% -19% 12% -1% 1% 3% 25% -37% 16% Powerchip -60% 50% 107% -6% -5% 2% 2% 1% 109% -22% 68% Winbond 1% 15% -1% 1% -1% 7% 3% 4% -10% 7% 13% DRAM Demand 9% 7% 10% 0% 4% 5% 6% 6% 31% 37% 23% Server -3% 16% 3% 14% 11% 8% 4% 9% 37% 36% 36% Desktop PC -4% 1% -4% 3% -2% 1% 6% 3% 15% -3% 7% Note PC -12% 2% -1% 1% -3% 0% 9% 1% 16% -8% 9% Mobile Phone 0% 19% 9% 8% 8% 14% 5% 23% 69% 70% 55% Tablet PC -7% 5% 21% 43% -19% 7% 13% 61% 132% 132% 75% LCD/OLED TV -24% 11% 21% 30% -25% 12% 22% 32% 31% 37% 33% Memory Module 11% 28% -22% 12% 7% 10% 4% 6% 13% 18% 21% Graphic Card 0% 5% 16% 10% -9% 4% 20% 2% 8% 18% 21% 자료 : DRAMeXchange, Gartner, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 45

46 [ 표 4] DRAM 수요분석 Summary ( 단위 : 백만개, 1Gb Eq.) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E DRAM Demand 8,376 8,927 9,846 10,035 10,473 11,010 11,721 12,451 27,223 37,184 45,655 Server 1,112 1,277 1,195 1,356 1,510 1,632 1,699 1,856 3,632 4,940 6,697 Desktop PC 1,153 1,167 1,087 1,209 1,181 1,197 1,268 1,306 4,751 4,616 4,952 Note PC 1,537 1,574 1,536 1,692 1,644 1,650 1,800 1,818 6,889 6,339 6,913 Mobile Phone 1,300 1,550 1,689 1,956 2,106 2,391 2,499 3,083 3,826 6,495 10,078 Tablet PC , ,607 2,812 LCD/OLED TV ,038 1,427 1,904 Memory Module ,923 2,277 2,753 Graphic Card %YoY 39% 36% 43% 30% 25% 23% 19% 24% 31% 37% 23% Server 34% 53% 47% 17% 36% 28% 42% 37% 37% 36% 36% Desktop PC -4% 0% -8% 1% 2% 3% 17% 8% 15% -3% 7% Note PC -8% -6% -14% -3% 7% 5% 17% 7% 16% -8% 9% Mobile Phone 83% 89% 69% 51% 62% 54% 48% 58% 69% 70% 55% Tablet PC 224% 136% 119% 112% 91% 92% 81% 57% 132% 132% 75% LCD/OLED TV 43% 42% 38% 31% 30% 32% 34% 36% 31% 37% 33% Memory Module 16% 11% 25% 24% 19% 3% 36% 29% 13% 18% 21% Graphic Card 8% 4% 25% 34% 23% 22% 25% 17% 8% 18% 21% DRAM GB/System Server Desktop PC Note PC Mobile Phone Tablet PC LCD/OLED TV %YoY Server 35% 47% 45% 13% 30% 24% 38% 31% 33% 33% 31% Desktop PC 9% 11% -2% 4% 5% 6% 20% 14% 20% 5% 11% Note PC 8% 8% -3% 3% 5% 7% 20% 15% 21% 4% 12% Mobile Phone 73% 78% 60% 44% 45% 39% 38% 50% 69% 61% 43% Tablet PC 45% 54% 66% 68% 60% 52% 46% 40% 22% 58% 47% LCD/OLED TV 38% 37% 37% 37% 34% 32% 31% 29% 32% 37% 32% Set Demand Server Desktop PC Note PC Mobile Phone ,713 1,808 1,965 Tablet PC LCD/OLED TV %YoY Server -1% 4% 2% 3% 4% 3% 3% 4% 2% 2% 4% Desktop PC -12% -9% -6% -3% -3% -4% -3% -5% -4% -8% -4% Note PC -14% -13% -12% -6% 1% -2% -2% -6% -4% -11% -2% Mobile Phone 6% 6% 6% 5% 12% 11% 7% 5% 0% 6% 9% Tablet PC 123% 53% 32% 26% 19% 26% 24% 13% 90% 47% 19% LCD/OLED TV 4% 4% 1% -4% -3% 0% 2% 5% -1% 0% 1% 자료 : DRAMeXchange, Gartner, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 46

47 [ 표 5] Desktop PC, Note PC, Tablet PC의 DRAM 수요분석 ( 단위 : 백만개, 1GB Eq.) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E DRAM Demand ,579 1,608 1,879 Desktop PC Note PC Tablet PC DRAM GB/System Desktop PC Note PC Tablet PC Set Demand Desktop PC Note PC Tablet PC %QoQ/%YoY DRAM Demand -9% 2% -2% 20% -6% 2% 9% 12% 19% 2% 17% Desktop PC -4% 1% -7% 11% -2% 1% 6% 3% 15% -3% 7% Note PC -12% 2% -2% 10% -3% 0% 9% 1% 16% -8% 9% Tablet PC -10% 6% 20% 85% -19% 7% 13% 61% 132% 132% 75% DRAM GB/System 6% -2% -10% 0% 13% 6% 3% -11% 6% -5% 10% Desktop PC 4% 3% -10% 7% 5% 4% 2% 2% 20% 5% 11% Note PC 3% 3% -9% 7% 6% 5% 2% 2% 21% 4% 12% Tablet PC 16% 14% 13% 12% 11% 9% 8% 7% 22% 58% 47% Set Demand -14% 4% 8% 20% -16% -4% 6% 26% 12% 7% 6% Desktop PC -2% -5% 0% 0% -7% -2% 3% 4% -4% -8% -4% Note PC -11% -2% 6% -3% -15% -1% 8% 3% -4% -11% -2% Tablet PC -34% 35% 24% 73% -23% -7% 7% 65% 90% 47% 19% 자료 : DRAMeXchange, Gartner, 메리츠종금증권리서치센터 [ 표 6] 스마트폰의 DRAM 수요분석 ( 단위 : 백만개, 1GB Eq.) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E DRAM Demand ,210 Premium Mid/Low DRAM GB/System Premium Mid/Low Set Demand ,249 Premium Mid/Low %QoQ/%YoY DRAM Demand 1% 21% 9% 17% 9% 15% 5% 25% 135% 80% 60% Premium -2% 19% -1% 22% -2% 14% 4% 29% 128% 63% 43% Mid/Low 36% 48% 40% 2% 22% 24% 36% 12% 133% 244% 126% DRAM GB/System 3% 11% 0% 2% 7% 10% 3% 14% 65% 27% 26% Premium 6% 14% 2% 5% 8% 9% 6% 10% 82% 40% 31% Mid/Low 25% 29% 15% 7% 15% 13% 12% 10% 23% 95% 63% Set Demand -2% 2% 10% 26% -1% 9% 9% 15% 43% 41% 26% Premium -8% 4% -2% 17% -9% 4% -2% 17% 25% 16% 9% Mid/Low 9% 15% 21% -4% 6% 10% 22% 1% 89% 76% 38% 자료 : DRAMeXchange, SA, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 47

48 [ 표 7] DRAM Wafer Input Capa 추이및전망 ( 단위 : 천장 / 월, 12 Eq.) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E Wafer Input Capa 1,087 1,112 1,061 1,031 1,102 1,094 1,110 1,098 1,098 1,073 1,101 %YoY -2% -1% -3% -2% 1% -2% 5% 6% -10% -2% 3% Samsung SK Hynix Micron Group Nanya Powerchip Winbond %YoY Samsung 3% 3% 2% 3% 7% 3% 9% 8% -2% 3% 7% SK Hynix -3% -4% -20% -29% -9% -4% 8% 16% -2% -14% 1% Micron Group 17% 24% 19% 12% -4% -9% -4% -3% -17% 18% -5% Nanya -32% -45% -41% -15% -8% -8% -8% -20% -36% -6% Powerchip -62% -35% -4% 80% 135% 41% 33% 27% 52% -21% 49% Winbond -11% -6% 13% 13% 24% 17% 17% -28% -1% 17% % of Total Samsung 33% 32% 35% 36% 35% 34% 36% 36% 32% 34% 35% SK Hynix 26% 25% 21% 20% 23% 24% 22% 21% 26% 23% 23% Micron Group 32% 32% 33% 33% 30% 30% 30% 30% 27% 33% 30% Nanya 6% 5% 6% 5% 5% 5% 5% 5% 8% 5% 5% Powerchip 2% 4% 4% 4% 5% 5% 5% 5% 4% 3% 5% Winbond 1% 2% 2% 2% 2% 2% 2% 2% 2% 2% 2% 자료 : DRAMeXchange, SA, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 48

49 [ 표 8] DRAM Wafer Input Capa 추이및전망 ( 단위 : 천장 / 월, 12 Eq.) 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14E 3Q14E 4Q14E E Wafer Input Capa 1,087 1,112 1,061 1,031 1,102 1,094 1,110 1,098 1,095 1,073 1,101 %YoY -2% -1% -3% -2% 1% -2% 5% 6% -8% -2% 3% Samsung Fab Fab12 Fab Fab Fab Fab SK Hynix M Wuxi Fab M New Fab Micron Group Dominion Fab JV-TECH Inotera Fab E Rexchip Nanya Powerchip Winbond % of Total Samsung 33% 32% 35% 36% 35% 34% 36% 36% 32% 34% 35% Fab11 5% 4% 5% 5% 5% 4% 4% 4% 5% 5% 4% Fab12 Fab13 12% 12% 12% 12% 11% 11% 11% 11% 12% 12% 11% Fab15 17% 16% 17% 17% 16% 16% 15% 15% 16% 17% 16% Fab16 1% 2% 3% 3% 3% 3% 1% 3% SK Hynix 26% 25% 21% 20% 23% 24% 22% 21% 26% 23% 23% M10 12% 11% 12% 14% 13% 12% 11% 11% 13% 12% 12% Wuxi Fab2 13% 12% 8% 3% 8% 11% 10% 10% 13% 9% 10% M12 1% 1% 0% 3% 2% 1% 0% 1% 1% New Fab Micron Group 32% 32% 33% 33% 30% 30% 30% 30% 27% 33% 30% Dominion Fab2 2% 2% 2% 2% 2% 2% 2% 2% 2% 2% 2% JV-TECH 5% 5% 3% 1% 1% 0% 0% 5% 4% 0% Inotera Fab2 10% 10% 11% 12% 11% 11% 11% 10% 6% 10% 11% E300 7% 9% 9% 10% 9% 9% 10% 10% 7% 9% 10% Rexchip1 7% 7% 8% 8% 7% 7% 7% 7% 6% 7% 7% Nanya 6% 5% 6% 5% 5% 5% 5% 5% 9% 5% 5% Powerchip 2% 4% 4% 4% 5% 5% 5% 5% 4% 3% 5% Winbond 1% 2% 2% 2% 2% 2% 2% 2% 2% 2% 2% 자료 : DRAMeXchange, Gartner, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 49

50 [ 표 9] NAND Supply/Demand Summary ( 단위 : 백만장 / 월, 12 Eq; 백만개 / 분기, 1GB Eq.) 1Q13 2Q13 3Q13 4Q13 1Q14E 2Q14E 3Q14E 4Q14E E Oversupply Ratio 4% 8% 2% 3% 8% 6% 0% 0% 9% 5% 3% NAND Supply 9,305 10,090 10,855 11,755 12,855 13,602 14,835 15,924 29,882 42,004 57,217 Wafer Input NAND Demand 8,802 9,378 10,624 11,367 11,936 12,891 14,824 15,862 27,520 40,171 55,513 NAND Supply 9,305 10,090 10,855 11,755 12,855 13,602 14,835 15,924 29,882 42,004 57,217 Samsung 3,299 3,561 3,956 4,105 4,372 5,159 5,726 5,898 9,369 14,921 21,154 SK Hynix 871 1,162 1,240 1, ,369 1,533 1,810 2,983 4,322 5,676 Toshiba/SanDisk 3,451 3,740 4,180 4,364 4,888 5,132 5,286 5,550 11,591 15,735 20,856 Micron/Intel 1,492 1,383 1,607 1,865 2,518 2,316 2,618 2,670 4,890 6,347 10,122 NAND Demand 8,802 9,378 10,624 11,367 11,936 12,891 14,824 15,862 27,520 40,171 55,513 SSD 1,041 1,213 1,509 1,591 2,603 3,018 3,905 4,373 2,137 5,354 13,900 Note PC Mobile Phone 4,887 5,024 5,653 6,483 6,258 6,689 7,362 8,385 15,338 22,047 28,694 Tablet PC , ,466 2,934 3,749 4,365 LCD/OLED TV Digital Camera ,828 2,537 2,766 USB ,823 2,191 2,750 PMP ,908 1,605 1,305 B/G(%QoQ/%YoY ) NAND Supply 8% 8% 8% 8% 9% 6% 9% 7% 59% 41% 36% Samsung 10% 8% 11% 4% 6% 18% 11% 3% 55% 59% 42% SK Hynix -1% 33% 7% -15% -8% 42% 12% 18% 33% 45% 31% Toshiba/SanDisk -7% 8% 12% 4% 12% 5% 3% 5% 76% 36% 33% Micron/Intel 21% -7% 16% 16% 35% -8% 13% 2% 66% 30% 59% NAND Demand 1% 7% 13% 7% 5% 8% 15% 7% 58% 46% 38% SSD 64% 16% 24% 5% 64% 16% 29% 12% 130% 151% 160% Note PC -14% 61% -51% 8% -20% 67% 39% 2% 74% 38% 17% Mobile Phone 0% 3% 13% 15% -3% 7% 10% 14% 82% 44% 30% Tablet PC -31% -10% 7% 67% -28% -4% 5% 49% 70% 28% 16% LCD/OLED TV -32% -3% 19% 27% -34% 25% 37% 30% 115% 34% 30% Digital Camera 17% 14% 2% -1% -4% 3% 8% 11% 19% 39% 9% USB 4% -3% 9% 9% 2% 0% 15% 17% 30% 20% 25% PMP -3% 0% -25% -5% 15% -7% -21% 3% -19% -16% -19% 자료 : DRAMeXchange, Gartner, 메리츠종금증권리서치센터 메리츠종금증권리서치센터 50

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

<4D F736F F D20B9DAC0AFBEC75FB9DDB5B5C3BCBCF6B1DE5F E646F63>

<4D F736F F D20B9DAC0AFBEC75FB9DDB5B5C3BCBCF6B1DE5F E646F63> Analyst 박유악 (6309-4523) Yuak.Pak@meritz.co.kr 2014. 3. 28 반도체산업 Overweight 삼성전자, Mobile DRAM 점유율확대전망 삼성전자 (005930) Buy, TP 1,700,000 원 DRAM Supply/Demand - 2014년공급과잉률 +3.2% 전망 - 2H14 삼성전자의 Mobile DRAM

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

Contents

Contents 2006. 5. 2 Intel, Qualcomm MK TANAKA, Heraus STS LF : BGA : ASE Amkor STATSChiPAC SPIL ASTAT LF : BGA : IBIDEN Shinko, Nanya MK GDS, IBIDEN, Compeq, Nanya Contents Gold Wiring Bumping Lead Frame Package

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

2010

2010 2014. 5. 26 삼성전자 (005930) Buy 28nm FD-SOI 공정도입, 파운드리경쟁력확대! Analyst 박유악 (6309-4523) 목표주가 (6개월) 1,700,000원현재주가 (5.23) : 1,428,000원소속업종반도체시가총액 (5.23) : 2,103,435억원평균거래대금 (60일) 3,103.9억원외국인지분율 50.84% 예상EPS(

More information

Microsoft Word - OLED용 반도체 산업전망_160322(Final)_editing_F_F.docx

Microsoft Word - OLED용 반도체 산업전망_160322(Final)_editing_F_F.docx Industry Indepth 반도체산업 OLED 용반도체산업전망시스템반도체 Part 3 Overweight 216. 3. 22 Analyst 박유악 2. 698-6688 yuak.pak@meritz.co.kr Top Picks 삼성전자 (593) Buy, TP 1,5, 원 SK 하이닉스 (66) Buy, TP 42, 원 실리콘웍스 (1832) Strong

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3,

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3, 삼성전자 005930 Jul 18, 2012 Buy [유지] TP 1,850,000원 [유지] Company Data 현재가(07/17) 1,168,000 원 액면가(원) 5,000 원 52 주 최고가(보통주) 1,410,000 원 52 주 최저가(보통주) 680,000 원 KOSPI (07/17) 1,821.96p KOSDAQ (07/17) 480.61p

More information

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final Apr 4, 216 Part 1 #1 반도체산업 IT Convergence vs Divergence [반도체] 최도연 3771-977 doyeon@iprovest.com [IDEA] IT Divergence 시대에서의 반도체 산업 전망 [업황] 메모리 반도체는 공급 초과. 비메모리는 재고 상당량 해소 [섹터뷰] 향후 반도체 수요 방향성 - DRAM

More information

Microsoft Word - 20160119172619993.doc

Microsoft Word - 20160119172619993.doc 반도체 in 2016 CES 메모리 반도체 응용처 확대 가능성 확인 2016년 CES 전시 주요 기술과 Device 모두 향후 메모리 반도체 수요 견인 가능성 충분 반도체 Analyst 박영주 02-6114-2951 young.park@hdsrc.com RA 주영돈 02-6114-2923 ydjoo89@hdsrc.com VR 시장의 성장 개시.. IT 기기의

More information

Microsoft Word - 20160425 IT Weekly_v5

Microsoft Word - 20160425 IT Weekly_v5 2016년 4월 26일 Tech Weekly Vol. 6 1-a. 반도체/디스플레이 Tech View - 노르웨이 정부 2025 년부터 Zero Emission Vehicel 만 판매 가능 - INTEL 비휘발성(Non-Volatile) 메모리 솔루션 그룹 큰 폭의 매출 감소 - 삼성전자 4 년 만에 Apple iphone7 에 Nand 공급, Toshiba

More information

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3 반도체산업 삼성전자, Foundry 부문성장지속 216. 3. 1 Analyst 박유악 (698-6688) Overweight 관련종목 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 리노공업 BUY TP 57, 원 Investment Summary - 16 년삼성전자

More information

May 2007 TongYang IT Hardware Monthly

May 2007 TongYang IT Hardware Monthly May 27 TongYang IT Hardware Monthly 1-5.2% -16,139 593-2.4% -7.4% -7,59-3,683 2 4.2% -7,283 12,392-3.% 1.% -2,488-8,472 3 2.5% -8,95-1,796-1.2% 1.2% -359-3,717 1 1.3% -31,516 2,188-6.5% -5.3% -1,356-15,873

More information

Company Brief 삼성전자 (005930) 반도체 1 위달성가능성에주목 Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,750,000 원현재주가 (3.17) : 1,497,000 원소속업종반도체ㆍ디스플레이시가총액

Company Brief 삼성전자 (005930) 반도체 1 위달성가능성에주목 Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,750,000 원현재주가 (3.17) : 1,497,000 원소속업종반도체ㆍ디스플레이시가총액 삼성전자 (005930) 반도체 1 위달성가능성에주목 2015. 3. 18 Analyst 박유악 (6309-4523) Buy 목표주가 (6 개월 ) 1,750,000 원현재주가 (3.17) : 1,497,000 원소속업종반도체ㆍ디스플레이시가총액 (3.17) : 2,205,071 억원평균거래대금 (60 일 ) 3,171.1 억원외국인지분율 51.55% 예상 EPS(

More information

Industry Brief 반도체산업 삼성전자 Foundry 양산본격화 Analyst 박유악 ( ) Overweight Top Picks 삼성전자 (005930) Buy, TP 1,750,000 원솔브레인 (036830) 테스나 (1

Industry Brief 반도체산업 삼성전자 Foundry 양산본격화 Analyst 박유악 ( ) Overweight Top Picks 삼성전자 (005930) Buy, TP 1,750,000 원솔브레인 (036830) 테스나 (1 반도체산업 삼성전자 Foundry 양산본격화 215. 1. 23 Analyst 박유악 (639-4523) Overweight Top Picks 삼성전자 (593) Buy, TP 1,75, 원솔브레인 (3683) 테스나 (13197) OCI 머티리얼즈 (3649) 테크윙 (893) Investment Summary - 4G 용 AP 시장경쟁본격화로, 삼성전자

More information

Microsoft Word - 산업분석리포트2008110717020200.doc

Microsoft Word - 산업분석리포트2008110717020200.doc 산업분석리포트 28.11.1 넷북 - PC 산업의 새로운 트렌드 Analyst 김현중 377-3562 guswnd@myasset.com 새로운 고객 세그먼트의 확대로 29년 본격적인 시장 성장 예상 넷북이란 인텔에서 제안한 저가형 서브 PC 의 개념. 작고, 가볍고, 저전력이며 인터넷, 워드프로 세서와 같은 기본적인 프로그램만을 가동시키는데 최적화된 PC 를

More information

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원 반도체산업 3D NAND 투자가앞당겨진다 216. 4. 1 Analyst 박유악 (698-6688) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 실리콘웍스 STRONG BUY TP 5, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 디엔에프 BUY

More information

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1236-A096640.doc

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1236-A096640.doc 멜파스(096640) 전략 스마트폰 터치 IC 채용 기대 매수(유지) T.P 33,000 원(유지) Analyst 조진호 jhocho@sk.com +82-2-3773-8908 Company Data 자본금 89 억원 발행주식수 1,714 만주 자사주 32 만주 액면가 500 원 시가총액 4,259 억원 주요주주 민동진 11.98% 이봉우 9.54% 외국인지분률

More information

Microsoft Word - IO_2009_메모리반도체.doc

Microsoft Word - IO_2009_메모리반도체.doc 메모리 반도체 SemiconductorMemory Chips 2009.1 평가1실 조수희 애널리스트 7872321 suhee.cho@kisrating.com 평가1실 박춘성 연구위원 7872341 cspark@kisrating.com 평가1실 손재형 실장 7872250 jaihyoung.son@kisrating.com Summary 공급과잉 상태가 지속되는

More information

Microsoft Word - 반도체산업_DRAM Tech Roadmap_최종__editing_f.docx

Microsoft Word - 반도체산업_DRAM Tech Roadmap_최종__editing_f.docx 산업 Overweight DRAM 중장기 Tech Roadmap 반도체 2014. 2. 26 Analyst 박유악 02-6309-4523 yuak.pak@meritz.co.kr IoT시대진입 : DRAM 공정미세화지속필요 - IoT시대진입으로글로벌반도체산업연평균 +4% 지속성장전망 - IoT시대시스템요구충족위해 DRAM 공정미세화지속필요 - DRAM 미세화

More information

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc

Microsoft Word - 120917 하이닉스 발간_FINAL_.doc SK하이닉스 (66) 212. 9. 19 기업분석 Analyst 안성호 2. 3772-7475 shan@hanwha.com RA. 고정우 2. 3772-7466 jungwoo.ko@hanwha.com Buy(maintain) 목표주가: 31,원(유지) 주가(9/18): 22,85원 Stock Data KOSPI(9/18) 2,4.96pt 시가총액 158,67억원

More information

(Microsoft Word - \274\366\301\244Edit-20120416_Hynix.doc)

(Microsoft Word - \274\366\301\244Edit-20120416_Hynix.doc) 212년 4월 16일 Upgrade Price Target 반도체 하이닉스(66) l BUY 목표주가(12M): 42,원(상향) 현재주가(4월13일): 29,원 Key Data KOSPI 지수(pt) 2,8.91 52주최고/최저(원) 37,/15,6 시가총액(십억원) 2,126.7 시가총액비중(%) 1.74 발행주식수(천주) 694,24.8 6일 평균거래량(천주)

More information

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770>

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770> 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 연구위원 이 주 완 joowanlee@hanaif.re.kr 02)2002-2683 요 약 IT 산업에 미치는 영향 프리미엄 제품 공급자 중심으로 재편 스마트폰은 단순히 기능이 추가된

More information

반도체의종류 < 그림 1> 반도체계통도 Discrete Power Tr Optoelectronics Image Sensor 센서 & Actuator c System LSI Analog IC 반도체 Logic IC Standard Special Communication

반도체의종류 < 그림 1> 반도체계통도 Discrete Power Tr Optoelectronics Image Sensor 센서 & Actuator c System LSI Analog IC 반도체 Logic IC Standard Special Communication 반도체개요및전망 Mobile Logic IC와삼성전자를중심으로 92 Analyst 송명섭 mssong@hi-ib.com (02-2122-9207) 1 반도체의종류 < 그림 1> 반도체계통도 Discrete Power Tr Optoelectronics Image Sensor 센서 & Actuator c System LSI Analog IC 반도체 Logic IC

More information

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc 산업분석 Report / 터치스크린 211. 4. 5 비중확대(신규) 종목 투자의견 목표주가(원) 멜파스(9664) 매수(-) 67,( ) 일진디스플레이(276) 매수(신규) 14,5(-) 에스맥(9778) 매수(신규) 18,(-) 이엘케이(9419) 매수(-) 27,( ) 삼성전자 태블릿 PC 공급업체에 주목 터치스크린 산업 올해 9% YoY 성장 비중확대

More information

Microsoft Word - 2016041019412290K_01_08.docx

Microsoft Word - 2016041019412290K_01_08.docx 216. 4. 1 실리콘웍스 (1832) 새로운 성장의 발견 투자 의견을 BUY로 변경하고 목표주가를 45,원으로 상향 조정. 모바일향 TDDI매출 성장, OLED TV용 driver IC 성장을 필연적이고 의미 있는 규모의 성장 으로 판단하며 UHD TV 보급 속도가 예상보다 빠름에 주목. 216년과 217년 영업이익을 각각 5%, 14% 상향 조정, 컨센서스

More information

0904fc5280257b90

0904fc5280257b90 02-768-3722 james.song@dwsec.com 02-768-4168 will.lee@dwsec.com Valuation 저평가 + 사상 최대 실적 + 재무 구조 개선의 3박자 2분기 OP 1.05조원, 연간 OP 4.1조원의 사상 최대 실적 예상 메모리 시장과 하이닉스를 확신하는 이유 중장기 Level up의 변곡점! I. Valuation 및

More information

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디 Sector Report 2014. 07. 07 스몰캡 하반기 주목할 만한 중소형주 4선 선명해지는 실적 개선 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 김태봉 퀀트/스몰캡 (02) 3215-1586 tbkim0901@bsfn.co.kr [아이원스] 반도체 및 디스플레이 정밀가공 부품업체로 투자 포인트는 다음과 같다.

More information

Microsoft Word - VB_May

Microsoft Word - VB_May SK securities Research center June.13 1 반도체최성제 dchoi@sk.com / +82-3773-94 / 디스플레이정한섭 hanchong@sk.com / +82-3773-9 Contents 1. Global IT Company Peer Group Table 3 2. Global IT Company Valuation Chart 3.

More information

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770>

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770> 표지 면지와 동일 SW 플랫폼 해법: SoC 융합으로 임채덕, 김선태, 정영준, 김태호, 유현규 목 차 1. 서론 1 2. 플랫폼 현주소 2 2.1. 모바일 OS 엿보기 2 2.2. SW 기업의 최신 동향 4 2.3. SoC 기업의 최신 동향 7 2.4. 시사점 11 3. SW 플랫폼 Innovative Mover 전략 13 3.1. 후발 주자의 고민 13

More information

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63>

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 9. 25 반도체 Overweight 메모리는솔루션으로진화한다! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 메모리산업은 Commodity

More information

<4D F736F F D B3E220B9DDB5B5C3BC20BBEABEF7C0FCB8C15F C3D6C1BE295F E675F665F465FBFCFBCBA325FC4BFB9F

<4D F736F F D B3E220B9DDB5B5C3BC20BBEABEF7C0FCB8C15F C3D6C1BE295F E675F665F465FBFCFBCBA325FC4BFB9F 216 Industry Outlook 216 반도체연간전망 DRAM 수급개선전망 Investment Summary Overweight 216년 DRAM 산업의수급개선전망. Mobile DRAM 수요증가율이 +35%YoY 로둔화 215. 1. 12 됨에도, -11%YoY 의 PC DRAM 공급감소불가피. 공급조절영향으로연말 / 연초유통재 Analyst 박유악

More information

Microsoft Word - C001_UNIT_ _

Microsoft Word - C001_UNIT_ _ 14 LG 는 IT 가아닌자동차의르네사스를인수한셈 매수 ( 유지 ) T.P 32,000 원 ( 유지 ) Analyst 정한섭 hanchong@sk.com +823773900 Company Data 자본금 81 억원 발행주식수 1,626 만주 자사주 74 만주 액면가 00 원 시가총액 4,326 억원 주요주주 ( 주 ) 코멧네트워크 16.2% 한대근 4. 외국인지분률.

More information

2007

2007 Global Research USA Eugene Equity 219. 6.14 Broadcom (AVGO.US) 현실이되어가는우려 반도체 / 디스플레이이승우 Tel. 2)368-6121 / swlee6591@eugenefn.com 현재주가 (6/13) NR 281.61 달러 Key Data ( 기준일 : 219.6.13) What s New: 브로드컴 2분기실적발표후시간외에서주가

More information

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드]

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드] 전자업계 국내외 투자 활발 '어려울 때 투자하라' 삼성 LG전자, 베트남에 앞다퉈 라인 증설 아프리카에도 눈돌려 2014.10.05 삼성전자가 이번 주 3분기 잠정실적(가이던스) 발표에서 충격적인 성적표를 내놓을 것으로 예상되는 가운데 본격적인 실적 하강 국면에서도 국내외 투자를 꾸준히 진행하고 있다고 연합뉴스가 전했다. 스마트폰 사업을 정상궤도에 끌어올린

More information

Microsoft Word - 2011MWC 이슈 코멘트.doc

Microsoft Word - 2011MWC 이슈 코멘트.doc YUHWA Research MWC 2011의 두가지 화두 산업분석 LTE 서비스 상용화와 NFC 내장 휴대폰 출시 Overweight 2011년 2월 14일(월) Analyst : 최성환(3770-0191) 1월 개최되었던 CES 2011에 이어 2월 14일부터 열리는 MWC에 세간의 관심 집중 - 우리는 지난 1월 라스베이거스에서 열린 세계 최대의 가전전시회인

More information

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp 주간 IT산업 주요 이슈 (2013-21 (2013-21호) 1. 주요 이슈 - 13.05.31(금), NIPA 산업분석팀 1 빅데이터, 애널리틱스, 클라우드 기업용 SW시장 성장 견인 지난해 글로벌 소프트웨어 시장 성장은 둔화하였으나, 빅데이터와 클라우드 관련 데이터 분석, 협업 애플리케이션, 보안 등은 성장세 ㅇ 12년 세계 기업용 SW 시장 규모는 3,426억달러로

More information

Company Brief 삼성전자 (005930) 전세계에서가장싼반도체업체, 목표주가상향 Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,900,000 원현재주가 (6.4) : 1,337,000 원소속업종반도체ㆍ디스플레이

Company Brief 삼성전자 (005930) 전세계에서가장싼반도체업체, 목표주가상향 Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,900,000 원현재주가 (6.4) : 1,337,000 원소속업종반도체ㆍ디스플레이 삼성전자 (593) 전세계에서가장싼반도체업체, 목표주가상향 215. 6. 5 Analyst 박유악 (639-4523) Buy 목표주가 (6 개월 ) 1,9, 원현재주가 (6.4) : 1,337, 원소속업종반도체ㆍ디스플레이시가총액 (6.4) : 1,969,392 억원평균거래대금 (6 일 ) 3,263.7 억원외국인지분율 51.72% 예상 EPS( 전년비 ) FY14

More information

Microsoft Word - 111130_삼성SDI

Microsoft Word - 111130_삼성SDI 삼성SDI 삼성SDI (64) BUY (Maintain) 주가(11/29) 131,5원 목표주가 19,원 211.11.3 울트라북, 2차전지 시장지형을 바꾼다 지금까지의 통념을 깨고 성능이 뛰어나면서도 싸고 가벼운 노트북이 등장했다. 울트라북이다. 울트라북은 모바일 인터넷 시대에 대응한 노트북의 진화이며, 215년에 전체 노트북의 45%를 차지하며 급성 장할

More information

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770>

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770> 2011-11호 2011-11호 2011. 12. 9 목 차 Ⅰ. IT 수출입 동향 1 1. 개요 3 2. 주요 품목별 수출 동향 6 3. 주요 국가별 수출 동향 43 4. 수입 및 수지 동향 46 5. 2011년 11월 IT산업 수출입 통계(잠정) 48 Ⅱ. 월간이슈 55 유럽 재정위기와 IT수출 1. 유럽 재정위기 57 2. 對 EU IT수출 동향 60

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

<4D F736F F D20C1F5BDC3BBEABEF7C0FCB8C15FC3D6C1BE5F2E646F63>

<4D F736F F D20C1F5BDC3BBEABEF7C0FCB8C15FC3D6C1BE5F2E646F63> 반도체부품 / 장비 모바일 AP 와미세공정전환이주요키워드 비중확대 Top picks 네패스 (33, 매수, TP 1, 원 ) 11F 1F 13F PER(x) 3.9. 7.1 PBR(x). 1. 1.3 EV/EBITDA(x).. 3. EPS( 원 ) 39 1,75,13 BPS( 원 ) 7, 9,3 11, 삼성전자모바일 AP 전략수혜주 WLP 의이익비중확대 1F

More information

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 모바일 클라우드 서비스 융합사례와 시장 전망 및 신 사업전략 2011. 10 AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 AGENDA 01. 모바일 산업의 환경 변화 가치 사슬의 분화/결합 모바일 업계에서도 PC 산업과 유사한 모듈화/분업화 진행 PC 산업 IBM à WinTel 시대 à

More information

Microsoft PowerPoint - DRAM History_editing_f최종_F_인쇄소.pptx_HwKhRtkByvMEtSUY2Bus

Microsoft PowerPoint - DRAM History_editing_f최종_F_인쇄소.pptx_HwKhRtkByvMEtSUY2Bus 반도체산업전망 반도체제품포트폴리오전략과 DRAM 역사 Meritz Research N 반도체 / 디스플레이 Analyst 박유악 639-4523 / yuak.pak@meritz.co.kr W E 215. 3. 3 www.imeritz.com S Prologue Product Portfolio Management(PPM) 기업의제품포트폴리오중가장현금흐름이좋은것은

More information

Hynix_K_pdf

Hynix_K_pdf 2007 Annual Report Hynix 2007 Annual Report 16_17 the for the year 2007, 2007, Will is strength. But it s also vision. Because... 3 Hynix 2007 Annual Report 16_3 2006 Highlights Financial Highlights (Three-year

More information

Microsoft Word _semicon_comment_final.doc

Microsoft Word _semicon_comment_final.doc Issue&News 산업분석 211.16 반도체 Overweight [ 비중확대, 유지 ] DRAM 상승세 2 월까지지속될전망 투자포인트 Top pick 강정원 769.362 jeffkang@daishin.com 삼성전자 Buy 목표주가 32% 2,, 종목명 투자의견 목표주가 삼성전자 Buy 2,, 원 SK 하이닉스 Buy 32, 원 한미반도체 Buy 1,5

More information

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD

정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의 주가가 떠들석하였다. 반도체 11조, LCD Research Center 메모리반도체 철강산업1 TFTLCD 철강산업2 유통산업 휴대폰산업 1 유틸리티 산업 휴대폰산업 2 자동차 산업 21 정진관( 37705323), jink36@hygood.co.kr 메모리반도체 Overweight 전방업체의 적극적 설비투자 수혜는 예전과 다르다 지난 5월에는 삼성전자의 올해 26조원 투자계획으로 수혜가 기대되는 관련기업의

More information

06이동통신

06이동통신 www.mke.go.kr + www.keit.re.kr Part.06 444 449 502 521 529 552 01 444 Korea EvaluationInstitute of Industrial Technology IT R&D www.mke.go.kr www.keit.re.kr Ministry of Knowledge Economy 445 02 446 Korea

More information

歯4Q01_실적

歯4Q01_실적 2002 1 1 2002 LG. 2 Table of Contents I. 2001 4/4 II. 2002 3 2001 4/4 4 4/4 (9.4%) 3/4 5% 4 455. 11.9% 16 6,010. ( : ) ( : ) 44,252 13,979 42,743 16,392 38,560 14,548 40,455 15,921 148,357 48,812 166,010

More information

2005 4 Creating the future of Display and Energy Samsung SDI 2006. 1. 18 1 05 4 05 4 ( ) 19,656 22,156 + 2,500 12.7% 1,155 1,424 + 269 23.3% (%) (5.9%) (6.4%) 1,101 803-298 -27.1% (%) (5.6%) (3.6%) 1,242

More information

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환) KRP Report (3회차) GOLDEN BRIDGE Research - 스몰켑 - Not Rated 테스 (095610) 공정미세화 추세의 수혜, 태양광 장비의 매출 가시화로 견조한 성장 작성일: 2009.11.18 발간일: 2009.11.19 3Q 실적 동사의 3분에 매출과 영업이익은 각각 141.5 억원(QoQ 142%), 6 억원(흑전)이다. 목표가

More information

Figure 1 P/B valuation Element Note Sustainable COE (%) Risk-free 2.8%; beta 0.9; risk premium 6.1% 8.3 Sustainable ROE (%) 3-year average (2015~2017)

Figure 1 P/B valuation Element Note Sustainable COE (%) Risk-free 2.8%; beta 0.9; risk premium 6.1% 8.3 Sustainable ROE (%) 3-year average (2015~2017) Company update / Target price raised Korea / Handsets 3 March 2015 BUY 목표주가 현재주가 (2 Mar 2015) 41,000 원 33,450 원 Upside/downside (%) 22.6 KOSDAQ 621.81 시가총액 (십억원) 544 52 주 최저/최고 20,600-34,650 일평균거래대금 (십억원)

More information

Microsoft Word - SK하이닉스_ doc_ouxBRyj5vjsURqmnRSdL

Microsoft Word - SK하이닉스_ doc_ouxBRyj5vjsURqmnRSdL Company Brief 2014. 4. 25 Buy SK 하이닉스 (000660) 2Q14~3Q14 DRAM 업황, 예상대비호조전망 Analyst 박유악 (6309-4523) 목표주가 (6개월) 46,000원 현재주가 (4.24) : 40,900원 소속업종 반도체 시가총액 (4.24) : 290,472억원 평균거래대금 (60일) 1,276.3억원 투자의견

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

Microsoft Word - 0900be5c8030087b.docx

Microsoft Word - 0900be5c8030087b.docx 213. 4. 14 Sector Update (OVERWEIGHT) LG쇼 2부 WHAT S THE STORY? Event: LG전자 목표주가를 13,원으로 상향하고 supply chain 수혜주를 찾는다. Team Analyst 조성은 sharrison.jo@samsung.com 2 22 7761 이종욱 jwstar.lee@samsung.com 2 22 7793

More information

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx) 산업분석 반도체/디스플레이 이베스트투자증권 어규진입니다. 작년부터 반도체/디스플레이 업황이 뜨겁습니다. Gate 가 부족하기 때문이죠. 반도체와 디스플레이의 수급이 타이트하다는 의미입니다. 과거 반도체/디스플레이 1 차 업황호조가 공격적인 투자집행에 따른 대규모 라인증설 때문이었다면, 금번 2 차 업황호조는 대규모 투자에 따른 과다경쟁 없이도 공정의 미세화,

More information

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY

20130909_반도체_1_레이아웃 1_wMXeTFRvtXOsRw0v2FjY In-Depth (Overweight) SSD = (3D - NAND) Sep. 2013 9 Analyst 2184-2392 sh.jin@ktb.co.kr R.A. 2184-2334 jmlee1st@ktb.co.kr Issue Pitch Coverage opinion Top-picks Rationale CAGR +19%, 2X Density 2X Write

More information

, Analyst, 3774 3803, 3774 1851, Table of contents 2

, Analyst, 3774 3803, 3774 1851, Table of contents 2 Sector update Korea / IT 9 September 2016 OVERWEIGHT Stocks under coverage Company Rating Price Target price, Analyst 3774 3803 hwdoh@miraeasset.com 3774 1851 sol.hong@miraeasset.com See the last page

More information

2005 1 Creating the future of Display and Energy Samsung SDI 2005 420 2005 1 05 1 ( ) 22,449 18,634-17.0% - 392 (%) (- 1.7%) 156 (0.8%) N/A - 480 (%) (- 2.1%) 414 (2.2%) N/A 342 (%) (1.5%) 541 (2.9%)

More information

SSD, TCO(Total Cost of Ownership) 관점 수요 확대 동인 확보 TCO(Total Cost of Ownership) 관점 수요 증가 동인 확대 3D NAND의 응용처는 향후 PC에서 엔터프라이즈로 확대될 것으로 판단된다. 엔터 프라이즈 시장에서는

SSD, TCO(Total Cost of Ownership) 관점 수요 확대 동인 확보 TCO(Total Cost of Ownership) 관점 수요 증가 동인 확대 3D NAND의 응용처는 향후 PC에서 엔터프라이즈로 확대될 것으로 판단된다. 엔터 프라이즈 시장에서는 엔터프라이즈향 SSD 수요 본격화 Industry Note 216. 4. 2 그 동안 엔터프라이즈 시장에서는 SSD 채용에 보수적이었지만 SSD의 TCO 경쟁력이 확보되면서 엔터프라이즈향 SSD 수요 본격화 진행 중. SSD내 엔터프라이즈향 수요 비중은 14년 17%에서 16년 31%로 상승 예상. 삼성전자 긍정적, 3D NAND 인프라 업체 및 장비업체 수혜

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

Microsoft Word - Handset component_120626 _K__comp.doc

Microsoft Word - Handset component_120626 _K__comp.doc ` 휴대폰부품 산업분석 Report / 휴대폰 212. 6. 26 비중확대(유지) 종목 투자의견 목표주가 대덕GDS(413) 매수 21,원(신규) 대덕전자(86) 매수 16,원 일진디스플레이(276) 매수 23,원(상향) 파트론(917) 매수 17,원(상향) 휴대폰 부품주를 반드시 사야 하는 3가지 이유 살아남은 자들의 축제 비중확대 의견 유지 휴대폰 부품

More information

Microsoft PowerPoint - 1_2010은행_.ppt

Microsoft PowerPoint - 1_2010은행_.ppt 2 1 업 종 별 전 망 Contents 7 은행 NIM에게길을묻다 이창욱 27 증권 물이 들어와야 배가 뜬다 원재웅 45 반도체 DDR3 효과는 이제 겨우 시작일 뿐 김유진 61 LCD 해답은 중국에 있다 김유진 이승철 75 자동차 내년 상반기에도 대표 선수 자격 충분 채희근 95 건설 Q(Quantity) 증가의 세가지 이유 이경자 117 유틸리티 유틸리티도

More information

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다.

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다. 차세대 반도체 공정, 노광에서 식각 증착 등으로 무게 이동 핀펫(FinFET) 3차원(3D) 낸드플래시 등 차세대 반도체 시장을 놓고 국내 장비 업체들의 기대감이 커지고 있다. 그동안 반도체 미세공정을 주도한 핵심 장비는 노광기였으나, 해외 선두 장비 업체들이 극자외선(EUV) 등 차세대 노광기 개발에 한계를 보이면서 반도체 업체들은 최근 화학 증기증착(CVD)

More information

, Analyst, 3774 3803, hwdoh@miraeasset.com 2

, Analyst, 3774 3803, hwdoh@miraeasset.com 2 Sector update Korea / Semiconductors 9 June 2016 NEUTRAL Stocks under coverage Company Rating Price Target price, Analyst 3774 3803 hwdoh@miraeasset.com See the last page of this report for important disclosures

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 217 년 IT 업종전망 216.11.15 전기전자 Analyst 김지산 2) 3787-4862 jisan@kiwoom.com Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com Overweight (Maintain) 관전포인트 1. DRAM 공급부족예상치상회 2. 3D NAND 투자규모예상치상회 3. New Memory 도입은 219년

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

Microsoft Word - 최신IT동향.doc

Microsoft Word - 최신IT동향.doc 주간기술동향 통권 1466 호 2010. 10. 6. 프로세서 시장에서 전면적 경쟁에 들어선 인텔과 퀄컴 * PC 프로세서와 휴대전화 프로세서 시장의 절대강자인 인텔과 퀄컴이 중간지대인 휴대형 모바 일 단말 프로세서 시장에서 정면 충돌하고 있는 가운데, 인텔은 성능을, 퀄컴은 통신 처리 기능 을 앞세워 시장경쟁에 돌입 인텔과 퀄컴은 태블릿 PC 를 비롯하여

More information

Microsoft Word - 2012 중소형주 20선_DTP.doc

Microsoft Word - 2012 중소형주 20선_DTP.doc 2011년 11월 30일 이슈분석 Mid / Small - Cap Top 20 年 末 年 始 强 小 株 덕산하이메탈 멜파스 인터플렉스 우주일렉트로닉스 고영 영원무역 바이오랜드 매일유업 현대그린푸드 에스원 삼익악기 예림당 후성 넥센타이어 한솔제지 하이록코리아 게임빌 포스코ICT SBS 코리안리 리서치센터 02-2003-2904 dy.park@hdsrc.com

More information

Microsoft Word SK하이닉스_4Q12 Preview.doc

Microsoft Word SK하이닉스_4Q12 Preview.doc Company Brief 2013. 01. 07 SK 하이닉스 (000660) Buy 4Q12 Preview: 흑자전환시현! Analyst 이세철 (6309-4523) 목표주가 (6개월) 33,000원현재주가 (1.4) : 26,350원소속업종전기, 전자시가총액 (1.4) : 182,909억원평균거래대금 (60일) 1,156.9억원외국인지분율 25.15% 예상EPS(

More information

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc 212년 7월호 리서치센터 Mid Small-cap Corporate Day 후기 하반기 관심을 가져야 할 중소형 유망주 212. 6. 26 스몰캡분석 한화증권 Mid Small-cap Team은 5월 31일부터 6월 13일까지 17개 기업에 대해 Corporate Day 를 진행하였습니다. 이 기업들에 대한 IR 후기를 제시합니다. 최근 그리스 2차 총선에서

More information

<4D6963726F736F667420576F7264202D20B1B8C1B6B0B3C6EDC0C720BDC3B4EB2C20C5F5C0DAB4EBBEC8C0B8B7CEC0C720C1F6C1D6C8B8BBE75F3135303431345F31>

<4D6963726F736F667420576F7264202D20B1B8C1B6B0B3C6EDC0C720BDC3B4EB2C20C5F5C0DAB4EBBEC8C0B8B7CEC0C720C1F6C1D6C8B8BBE75F3135303431345F31> 215. 4. 14 지주회사 구조개편의 시대, 투자대안으로서의 지주회사 Overweight 지주회사/통신 담당 김준섭 Tel. 2)368-6517 jskim@eugenefn.com Summary 지주회사 Overweight, Top-picks로 CJ(14), SK C&C(3479)를 제시 지주회사에 대한 관점으로 Overweight 의견을 제시한다. 기업

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L Sector update Korea / Semiconductors 25 February 2016 NEUTRAL Stocks under coverage Company Rating Price Target price 삼성전자 (005930 KS) BUY 1,172,000 1,550,000 SK 하이닉스 (000660 KS) BUY 30,900 37,000 이오테크닉스

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

1. PVR Overview PVR (Personal Video Recorder), CPU, OS, ( 320 GB) 100 TV,,, Source: MindBranch , /, (Ad skip) Setop BoxDVD Combo

1. PVR Overview PVR (Personal Video Recorder), CPU, OS, ( 320 GB) 100 TV,,, Source: MindBranch , /, (Ad skip) Setop BoxDVD Combo PVR 1. PVR Overview 2. PVR 3. PVR 4. PVR 2005 10 MindBranch Asia Pacific Co. Ltd 1. PVR Overview 1.1. 1.1.1. PVR (Personal Video Recorder), CPU, OS, ( 320 GB) 100 TV,,, Source: MindBranch 1.1.2., /, (Ad

More information

ÀüÀÚ Ä¿¹ö-±¹¹®

ÀüÀÚ Ä¿¹ö-±¹¹® 1 TV 20011 TV TV Top 3. 63 TV 40 TFT-LCD TV, TFT-LCD LCoS(Liquid Crystal on Silicon). 2002 TV 15, 17, 22, 24, 29 40 TFT-LCD TV 1. VCR & 1979 VCR 2001., VCR, AOL Time Warner TV. 2002 SDTV HDTV,,. DVD DVD/VCR

More information

Microsoft Word - 131001 Mid Small Cap_10월호_최종수정.doc

Microsoft Word - 131001 Mid Small Cap_10월호_최종수정.doc 213년 1월호 리서치센터 한화 Mid Small-cap 신규사업 가시화로 중장기 성장동력 확보 213. 1. 1 스몰캡분석 신규사업을 통해 한 단계 도약하는 업체들에 주목 기업들은 성장하기 위해 끊임없이 노력한다. 이를 위해 기업들은 기존 사업을 강화하거나 신규 사업을 통해서 성장 발판을 마련한다. 하지만 신규사업을 통해 성장을 추진하는 전략의 성공확률은

More information

Company Brief 삼성전자 (005930) [Growth Opportunity] 14nm FinFET 양산 Start!! Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,600,000 원현재주가 (12.22)

Company Brief 삼성전자 (005930) [Growth Opportunity] 14nm FinFET 양산 Start!! Analyst 박유악 ( ) Buy 목표주가 (6 개월 ) 1,600,000 원현재주가 (12.22) 삼성전자 (593) [Growth Opportunity] 14nm FinFET 양산 Start!! 214. 12. 23 Analyst 박유악 (639-4523) Buy 목표주가 (6 개월 ) 1,6, 원현재주가 (12.22) : 1,345, 원소속업종반도체ㆍ디스플레이시가총액 (12.22) : 1,981,176 억원평균거래대금 (6 일 ) 3,277.2 억원외국인지분율

More information

<4D F736F F D F535344B4C220C4C1C6AEB7D1B7AFB0A120C7D9BDC92E646F63>

<4D F736F F D F535344B4C220C4C1C6AEB7D1B7AFB0A120C7D9BDC92E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 11. 20 반도체 Overweight SSD 는컨트롤러가핵심! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 한국반도체업체, SDD

More information

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4 (019680) 2007 321 COMPANY INITIATION, CFA 02) 3772-1557 02) 3772-1568 emilypark@goodi.com Kitten78@goodi.com KOSPI : 1444.17p KOSDAQ : 645.37p : 756.4 : 5,000 : 8.5 : 3.4 (39.8%) 52 / : 95,400 /65,600

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 부품 01 Products 02 시장점유율 베트남법인 성장 본격화 04 우호적인 업황 3.

More information

Microsoft Word - 130318 핸드폰부품 Galaxy S4_교정_.doc

Microsoft Word - 130318 핸드폰부품 Galaxy S4_교정_.doc 핸드폰/부품 Galaxy 시리즈 결정판 S4 효과를 기대한다 핸드폰 Galaxy S4는 Galaxy 시리즈 중 최대 효과일 것 Galaxy S3 이후 출시되는 Galaxy S4에 대한 기대도 높다. 현재로서는 Galaxy S3보다 판매량이 큰 폭으로 증가할 것으로 예상하는데, 이는 211년에 스마트폰을 구매한 교체수요가 Galaxy S3 출시 시점보다 많고,

More information

TopPicks 삼성전자 하이닉스 반도체 Overweight 기업분석팀 Analyst 김성인 02) H11~2012, 메모리반도체호황지속될전망 투자포인트 1. DRAM: 6~7 월은 Slow Season, 하지만 8 월

TopPicks 삼성전자 하이닉스 반도체 Overweight 기업분석팀 Analyst 김성인 02) H11~2012, 메모리반도체호황지속될전망 투자포인트 1. DRAM: 6~7 월은 Slow Season, 하지만 8 월 TopPicks 삼성전자 하이닉스 Overweight 기업분석팀 Analyst 김성인 2) 3787-5172 sikim@kiwoom.com 2H11~212, 메모리호황지속될전망 투자포인트 1. DRAM: 6~7 월은 Slow Season, 하지만 8 월부터재차업황가파르게호전예상 2. 낸드플레시 : 스마트폰 / 태블릿 PC 시장확대, 노트북 PC SSD 채용확산에따른업황호조지속예상

More information

Microsoft Word - 0900be5c80354851.docx

Microsoft Word - 0900be5c80354851.docx Company Update (3356) 214년은 웨어러블 기기 ODM으로 주목받는다 WHAT S THE STORY? Event: 에 대해 BUY 투자의견과 12개월 목표주가 19,원 제시. Impact: 동사는 블루투스 악세서리 ODM사업과 스피커/진동모터 부품 사업을 영위하 고 있음. 1) LG전자는 내년 중국 블루투스 헤드셋 시장 진출을 적극적으로 추진할

More information

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63>

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63> 2013. 09. 09 [유진 더리치 스몰캡] 이슈 분석 갤럭시노트3, 갤럭시기어 출시 수혜주 스몰캡 팀장 박종선 Tel. 368-6076 jongsun.park@eugenefn.com 스몰캡 담당 윤혁진 Tel. 368-6499 hjyoon@eugenefn.com Summary < Samsung Unpacked 2013 Episode 2> 행사 개최 지난

More information

이제는 쓸모없는 질문들 1. 스마트폰 열기가 과연 계속될까? 2. 언제 스마트폰이 일반 휴대폰을 앞지를까? (2010년 10%, 2012년 33% 예상) 3. 삼성의 스마트폰 OS 바다는 과연 성공할 수 있을까? 지금부터 기업들이 관심 가져야 할 질문들 1. 스마트폰은

이제는 쓸모없는 질문들 1. 스마트폰 열기가 과연 계속될까? 2. 언제 스마트폰이 일반 휴대폰을 앞지를까? (2010년 10%, 2012년 33% 예상) 3. 삼성의 스마트폰 OS 바다는 과연 성공할 수 있을까? 지금부터 기업들이 관심 가져야 할 질문들 1. 스마트폰은 Enterprise Mobility 경영혁신 스마트폰, 웹2.0 그리고 소셜라이프의 전략적 활용에 대하여 Enterpise2.0 Blog : www.kslee.info 1 이경상 모바일생산성추진단 단장/경영공학박사 이제는 쓸모없는 질문들 1. 스마트폰 열기가 과연 계속될까? 2. 언제 스마트폰이 일반 휴대폰을 앞지를까? (2010년 10%, 2012년 33%

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

(Microsoft Word - 2012 \277\254\260\243\300\374\270\301 \271\335\265\265\303\274_1121.doc)

(Microsoft Word - 2012 \277\254\260\243\300\374\270\301 \271\335\265\265\303\274_1121.doc) 2011. 11. 21 2012 연간 대전망: 반도체 Ultrabook이 그려주는 반도체산업의 미래!! Overweight(유지) 반도체/디스플레이 jeonglee@eugenefn.com Tel. 담당 368-6124 이정 Glossary 용어 Ultrabook 정의 태블릿PC PC(tablet PC) Intel이 배터리 탑재된 터치스크린을 수명이 노트북PC를

More information

디스플레이산업 [3 월상반월 ] LCD 패널가격동향 Witsview, 3월상반월 LCD패널가격발표 : 2월하반월대비 -0.3% 하락시장조사기관인 Witsview 가 3월상반월 LCD패널가격을발표하였다. TV, 모니터, 노트PC 용 LCD패널평균가격은지난 2월하반월대비

디스플레이산업 [3 월상반월 ] LCD 패널가격동향 Witsview, 3월상반월 LCD패널가격발표 : 2월하반월대비 -0.3% 하락시장조사기관인 Witsview 가 3월상반월 LCD패널가격을발표하였다. TV, 모니터, 노트PC 용 LCD패널평균가격은지난 2월하반월대비 디스플레이산업 [3 월상반월 ] LCD 패널가격동향 Witsview, 3월상반월 LCD패널가격발표 : 2월하반월대비 -0.3% 하락시장조사기관인 Witsview 가 3월상반월 LCD패널가격을발표하였다. TV, 모니터, 노트PC 용 LCD패널평균가격은지난 2월하반월대비 -0.3% 로하락세를이어갔지만, 하락의폭은감소했다. TV패널중에서중국내수요비중이높은 32인치의가격은춘절이후의재고조정영향으로지속적으로하락하고있고,

More information

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63>

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 04. 15 반도체 Overweight 4 월상반월 DRAM 고정가 8.5% 상승 Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy, TP 36,000 원 결론 - PC

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Creating the future of Display and Energy Samsung SDI

Creating the future of Display and Energy Samsung SDI 2006 2 Creating the future of Display and Energy Samsung SDI 2006. 7. 25 1 06 2 ( ) 06.2Q QoQ 06.1Q 05.2Q YoY 16,250-962 17,212 18,382-2,132 221-189 410 347-126 (%) (1.4%) (2.4%) (1.9%) 171 1) - 480

More information

KEIT PD(15-10)-내지.indd

KEIT PD(15-10)-내지.indd / KEIT PD / KEIT PD / SUMMARY,, 13 300, 15 341, 17 367 5.2% 13 6,000 2%, 90%,,,,,,, ㆍ ㆍ,,, KEIT PD Issue Report PD ISSUE REPORT OCTOBER 2015 VOL 15-10 1. (AC DC, DC AC), (, ),, MOSFET, IGBT(Insulated Gate

More information

반도체산업 Overweight DRAM 중장기 Tech Roadmap 반도체 Analyst 박유악 Meritz Coverage 삼성전자 (005930) Buy, TP 1,750,000

반도체산업 Overweight DRAM 중장기 Tech Roadmap 반도체 Analyst 박유악 Meritz Coverage 삼성전자 (005930) Buy, TP 1,750,000 산업 Overweight 2015. 4. 20 Analyst 박유악 02-6309-4523 yuak.pak@meritz.co.kr Meritz Coverage 삼성전자 (005930) Buy, TP 1,750,000 원 SK 하이닉스 (000660) Buy, TP 62,000 원 LG 디스플레이 (034220) Hold, TP 35,000 원 OCI 머티리얼즈

More information

2010

2010 2014. 4. 30 Buy 삼성전자 (005930) 갤럭시 S5 기대감이아이폰우려보다큰시기 Analyst 박유악 (6309-4523) 목표주가 (6개월) 1,700,000원 현재주가 (4.29) : 1,360,000원 소속업종 반도체 시가총액 (4.29) : 2,003,271억원 평균거래대금 (60일) 2,800.8억원 외국인지분율 50.66% 예상EPS(

More information

, Analyst, , Table of contents 2

, Analyst, , Table of contents 2 _ 3 November 2016 World Auto WatchWatch, Analyst, 3774 3763, inwoo.park@miraeasset.com , Analyst, 3774 3763, inwoo.park@miraeasset.com Table of contents 2 , Analyst, 3774 3763, inwoo.park@miraeasset.com

More information