디지털논리실험및설계 DIGITAL LOGIC LAB & DESIGN ( 학수번호 : ) Manual v 전자전기공학부 홍익대학교

Size: px
Start display at page:

Download "디지털논리실험및설계 DIGITAL LOGIC LAB & DESIGN ( 학수번호 : ) Manual v 전자전기공학부 홍익대학교"

Transcription

1 디지털논리실험및설계 DIGITAL LOGIC LAB & DESIGN ( 학수번호 : ) Manual v 전자전기공학부 홍익대학교

2 기본사항및실험보고서작성요령 1. 실험준비 디지털논리실험및설계는 2학년 1학기에디지털논리회로를신청하여수강하고있는학생 ( 또는이미수강한학생 ) 을대상으로강의를통해이론적으로배우는 ( 또는배운 ) 논리회로의이론을실험적으로입증하고자개설된과목이다. 따라서실험을원활하게수행하기위해서는해당주제에대한논리회로의이론을미리예습하여내용을잘숙지한상태로실험에임해야한다. 일부실험결과가이론결과와다르게나올수있으므로실험에임하기전에이론적인결과를미리파악하여실험결과가이론결과와다를경우그원인에대해조원과함께토의하여결과보고서를준비하는과정도필요하다. 2. 조편성 [ 비대면수업의경우조편성없이개인실험을진행한다 ] 실험은 2인 1조를원칙으로한다. 원하는경우학생이실험조를편성하여조교의승인을받을수있다. 그러지않은경우조교가임의로실험조를편성한다. 3. 보고서 보고서는예비보고서만작성한다. 3.1 예비보고서예비보고서에는 2. 실험준비 에서요구하는내용이포함되어야한다. 또한예비보고서에는기본및응용실험에서예상되는이론적인실험결과및그논리적인이유가포함되어야한다. 이론적인실험결과는복잡한회로의경우는 Logic Works를이용하여구하고간단한회로의경우는수업에서배운방법을이용하여간단하게결과를얻으면된다. Logic Works 프로그램은 P동 PC실에설치되어있으며실험에서결선하는회로들은간단하여동영상을참조하여배우면사용가능하다. ( 필요시조교에게도움을받을것 ). 요약하면예비보고서는 실험준비 에대한내용및예상되는실험결과를간단하게작성하여제출한다. 예비보고서는개인당하나씩작성하여클래스넷을통하여제출한다. 각주차별예비보고서의만점은 10점이다. 변경된매뉴얼을확인하지않고이전매뉴얼을기준으로작성한보고서의경우부정행위로간주하여 0점처리한다. 총 10주차실험의예비보고서총합 100점을 20점으로변환하여 (0.2를곱하여 ) 최종성적에포함한다. 보고서는 조 _ 학번 _ 이름 _ 주차 _ 예비보고서 와같이파일제목을작성한다. 클래스넷에제출한파일제목이위형식을따르지않은경우감점. 예1) 1조 _B123456_ 노승문 _1주차_ 예비보고서.hwp 예2) 12조 _B123456_ 노승문 _1주차_ 예비보고서.doc 비대면수업이라조편성을하지않는경우조번호생략 - 1 -

3 예 1) B123456_ 노승문 _1 주차 _ 예비보고서.hwp 예 2) B123456_ 노승문 _1 주차 _ 예비보고서.doc 3.2 결과보고서 결과보고서는작성하지않는다. 4. 실험 [ 비대면수업의경우실험영상을촬영후 youtube에업로드하여해당링크를제출하는형태로사전에검사를받을수있다.] 모든기본및응용실험은수업시간중조교의검사를받는다. 검사를받지못한실험에대해서는 실험점수 항목에서감점이있다. 예를들어, 기본및응용총 5개실험중 4개성공하면해당주차실험점수의 80% 를부여한다. 해당수업시간에실험을완료하지못하였더라도다음시간에실험에성공할경우점수를얻을수있다 ( 해당실험의다음수업까지만가능 ). 수강생들은실험후사용한칩을원래자리로반납하여야한다. 망가져서사용이어려워진부품은버리도록한다. 사용한키트, 책상위, 또는서랍안에전선이나칩을넣어두지않도록한다. 키트의전원을분리하고사용한멀티미터등의장비도원래대로정리해두도록한다. 조교는실험후각조의정리상태에따라해당주차실험점수에서 0%-30% 를감점한다. 5. 기타 5.1 강의동영상 본실험은이론수업과같은학기에진행되어이론수업보다실험수업의진도가더빠른경우가발생하기도한다. 이에매실험의내용에해당하는이론을간략하게강의하는동영상을업로드한다. 모든수강생은예비보고서작성에앞서해당동영상을확인한다. 5.2 실험부품실험후사용한칩은원래자리로반납한다. 망가져서사용이어려워진부품은버린다. 사용한키트안에전선혹은칩을넣어두지않는다. 키트의전원을분리하고사용한멀티미터등의장비도원래대로정리해둔다. 조교는실험후정리상태에따라감점을한다. 5.3 로직웍스 예비실험및프로젝트진행시시뮬레이션 tool 인 LogicWorks 를사용할수있다. P 동 PC 실 에서사용이가능하며 로직웍스 로검색하면다운로드가능하다. 5.4 출석 실험수업은출석하여실제로실험을진행하는것이중요하므로엄격한기준을적용한다. 3 회 포함 3 회이상결석의경우 F 학점을부여한다. 10 분이상 1 시간이하늦으면지각처리 (0.5 회 - 2 -

4 결석처리, 출석점수 2 점 ) 1 시간이상늦으면추가로결석 0.75 회처리 ( 출석점수 3 점 ) 한다. 결석의경우출석점수 4 점을감점한다. 출석점수감점이 10 점이상이면출석점수를 0 점처리한 다. 첫주차오리엔테이션수업은수강정정기간이기때문에전원출석처리한다. 5.5 최종성적 학점은출석 10 점, 예비보고서 20 점, 실험점수 30 점, 프로젝트 40 점으로한다

5 주별수업계획서 주 실험내용 비고 1 조편성및실험기본요령설명 2 1주차 : AND, OR, NOT Gate 실습및 datasheet 실험 manual 3 2주차 : NAND, NOR, XOR 및응용 실험 manual 4 3주차 : Decoder 실험 manual 5 4주차 : Multiplexer 실험 manual 6 5주차 : Adder 실험 manual 7 6주차 : ALU 실험 manual 8 7주차 : JK FlipFlop 실험 manual 9 8주차 : D-FlipFlop 실험 manual 10 9주차 : Shift Register 실험 manual 10주차 : Counter 실험 manual 12 11주차 : Project 설명및납땜 13 12주차 : Project 진행 14 13주차 : Project 진행 15 14주차 : Project 진행 작동원리및이론은디지털논리회로수업교재및 Floyd의 Digital Fundamentals를참 조할것 - 4 -

6 1 주차 : 1. 실험목적 Datasheet 를보는법을익히고 AND, OR, NOT 등기본논리게이트에대해학습한다. 2. 실험준비 l AND 게이트 7408의 datasheet를읽는법을간단하게서술하고 4.1 기본실험 (1) 의회로를어떻게결선하여야하는지 pin번호를이용하여설명하시오. l VCC와 GND를직접결선 ( 직접연결 ) 하면안되는이유를설명하시오. l 기본실험 (4) 의회로를구현하시오. l 응용실험 (2) 의회로를구현하시오. l 응용실험 (3) 의결과를예상해보고본인의생각을서술하시오. 3. 기기및부품 NOT 게이트 7404, OR 게이트 7432, AND 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과같이회로를결선한후 AND 게이트의진리표를그려보시오. [ 그림 1] 결선시 VCC 와 GND 를꼭연결하도록한다. A B X (2) [ 그림 2] 와같이회로를결선한후 OR 게이트의진리표를그려보시오. [ 그림 2] A B X (3) [ 그림 3] 과같이회로를결선하시오. 아래표에그결과를기록하시오. [ 그림 3] A B C D

7 (4) AND 게이트를두개사용하여 3 입력 AND 게이트를구현하시오. 즉, 의회로를 구현하시오. 아래표에그결과를기록하시오. A B C X 스위치를이용하면입력을간편하게변경할수있다. 4.2 응용실험 (1) [ 그림 4] 와같이회로를결선하시오. 아래표에그결과를기록하시오. [ 그림 4] A B X (2) 의회로를 NOT, AND, OR 게이트를이용하여구현하고아래표에그결과를 기록하시오. A B X (3) 위기본실험 (1) 의 AND 게이트를 VCC 와 GND 를제외하고결선하시오. 실험으로나타난 결과를아래표에기록하시오. A B X - 2 -

8 2 주차 : 1. 실험목적 NAND, NOR, XOR 등논리게이트의특성및응용에대해학습한다. 2. 실험준비 l NAND 게이트 7400, NOR 게이트 7402, XOR 게이트 7486의 datasheet를확인하시오. (1주차이후에는 pin번호를자세히설명할필요없음 ) l 기본실험 (4) 의회로를구현하시오. l 응용실험 (1), (2), (3) 의회로를구현하시오. l 응용실험 (3) 의결과를예상하고이유를서술하시오. ( 힌트 : 드모르간법칙 ). 3. 기기및부품 NAND 게이트 7400, NOR 게이트 7402, XOR 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과같이회로를결선한후 NAND 게이트의진리표를그려보시오. [ 그림 1] A B X (2) [ 그림 2] 와같이회로를결선한후 NOR 게이트의진리표를그려보시오. [ 그림 2] A B X (3) [ 그림 3] 과같이회로를결선하시오. 아래표에그결과를기록하시오. [ 그림 3] A B X - 3 -

9 (4) NAND 게이트를두개사용하여 AND 게이트를구현하시오. 즉, 의회로를구현 하시오. 실험을통해이를확인하고아래표에그결과를기록하시오. A B X 4.2 응용실험 (1) NAND 게이트 4 개만을이용하여 XOR 게이트를구현하고아래표에그결과를기록하시오. 힌트 : XOR A B X (2) A, B, C, D 네개의입력을받는패리티확인 (parity check) 회로를 XOR 게이트 3 개로구 현하시오. 패리티확인회로는네개의입력중 HIGH(1) 이짝수개면 LOW(0) 을, HIGH(1) 이 홀수개면 HIGH(1) 을출력하는회로이다. 실험을통해이를확인하고아래표에그결과를기 록하시오. A B C D X 1 1 (3) NOR 게이트를세개사용하여 의회로를구현하시오. 실험을통해이 회로가 와같은회로임을확인하고아래표에그결과를기록하시오. A B C X

10 3 주차 : 1. 실험목적 부호기 (encoder) 와복호기 (decoder) 의동작원리및특성을살펴본다. 2. 실험준비 l 기본실험 (1) 의회로가 2-bit 복호기인이유를설명하시오. l 기본실험 (2) 의회로가 2-bit 부호기인이유를설명하시오. l 기본실험 (3) 에서 7을표시하기위한입력 가무엇인지설명하시오. l BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet를확인하시오. l 기능에대해서술하시오. l Active LOW와 Active HIGH에대하여설명하시오. 3. 기기및부품 BCD to 7-segment decoder 7447, 7-segment 5161, NOT 게이트 7404, OR 게이트 7432, AND 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과같이 2-bit 복호기를결선한후아래진리표를그려보시오. [ 그림 1] B A Y0 Y1 Y2 Y3 (2) [ 그림 2] 과같이 2-bit 부호기를결선한후아래진리표를그려보시오

11 [ 그림 2] 응용실험 (1) 와함께진행하시오. (3) 주어진기판의 7-segment 에숫자 7 을표시하시오. A3 A2 A1 A0 F1 F segment decoder 7447 를사용하지말고기판의 A, B, C, D 에직접결선한다. 4.2 응용실험 (1) [ 그림 2] 의부호기회로에두개이상의입력이 1 인경우중한가지를골라어떤일이 일어나는지실험해보시오. (2) BCD to 7-segment decoder 7447 을이용하여 7-segment 5161 에숫자 7 를표시하시 오. 7-segment 5161 을오래사용시과열될수있으니주의하시오. (3) BCD to 7-segment decoder 7447 의 기능을테스트해보시오. (4) BCD to 7-segment decoder 7447 에 을입력으로넣었을때나오는결과를 확인해보시오. 기판의 7-segment 에같은입력을넣었을때의결과와비교하시오. [ 비대면 수업시진행하지않음 ] - 6 -

12 4 주차 : 1. 실험목적 멀티플렉서 (multiplexer) 와디멀티플렉서 (demultiplexer) 의동작원리및특성을살펴본다. 2. 실험준비 l 멀티플렉서와부호기 (encoder) 의차이를설명하시오. l 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer 74157, 1-of-4 Decoder 74139, 3-INPUT AND 게이트 7411의 datasheet를확인하시오. l 4-to-1 Multiplexer 74153의 EN에대해설명하시오. l 1-of-4 Decoder 74139가 Decoder와 Demultiplexer의기능을동시에할수있음을설명하시오. 이를이용하여기본실험 (2) 를어떻게결선할수있는지설명하시오. l 응용실험 (1) 이 8-to-1 멀티플렉서로동작하는원리를자세히설명하시오. l 응용실험 (2) 가 4-to-1 멀티플렉서로동작하는원리를자세히서술하시오. 3. 기기및부품 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer 74157, 1-of-4 Demultiplexer 74139, 3-INPUT AND 게이트 7411, NOT 게이트 7404, OR 게이트 실험과정 4.1 기본실험 (1) 4-to-1 Multiplexer 을결선하여아래진리표를작성하시오. [ 그림 1] S1 S0 D3 D2 D1 D0 Y 의 EN 은 Active-LOW 임을유의하시오. [ 위표역시 를기준으로작성되었음.] (2) 1-to-4 Decoder 를이용하여 Active-LOW 디멀티플렉서를구현하고, 아래진리표 를작성하시오. [ 힌트 : EN 을 INPUT 처럼사용하시오.] - 7 -

13 4.2 응용실험 [ 그림 2] I S1 S0 Y0 Y1 Y2 Y (1) [ 그림 3] 과같이 4-to-1 Multiplexer 와 2-to-1 Multiplexer 을이용하여 8-to-1 멀티플렉서를결선하시오. 실험을통해 8-to-1 멀티플렉서가잘동작하는지확인하시 오. [ 그림 3] VCC, GND, EN 을모두연결하였는지확인하시오. (2) [ 그림 4] 와같이 4-to-1 Multiplexer 를 AND, OR, NOT 게이트를이용하여구현하시오. 그결과가기본실험 (1) 과일치하는지확인하시오. 3-INPUT AND 게이트 7411 을사용하시 오. [ 그림 4] - 8 -

14 5 주차 : 1. 실험목적 이진덧셈의원리를이해하고반가산기 (half adder) 와전가산기 (full adder) 의동작을확인한다. 2. 실험준비 l 4.1 기본실험 (2) 의전가산기 [ 그림 2] 는반가산기 [ 그림 1] 두개와하나의 OR 게이트로이루어져있다. [ 그림 2] 의회로가전가산기로동작하는원리를설명하시오. l 응용실험 (1), (2) 의회로를구현하시오. l LSB와 MSB의의미를조사하시오. l 응용실험 (2) 의회로를순차적으로연결하는방식에대해자세히서술하시오. 3. 기기및부품 AND 게이트 7408, OR 게이트 7432, XOR 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과같이반가산기를결선한후아래진리표를그려보시오. A B (2) [ 그림 2] 와같이전가산기를결선한후아래진리표를그려보시오. [ 그림 2] A B

15 4.2 응용실험 (1) 전가산기두개를직렬로연결하여두자리이진수덧셈기를구현하시오. 위 [ 그림 2] 를아래 [ 그림 3] 과같이도식화하였을때, 두자리이진수덧셈기는 [ 그림 4] 와같이구현할수있다. [ 그림 3] [ 그림 4] [ 그림 4] 의회로를 XOR, AND, OR 게이트로구현한후아래표에실험결과를기록하시오. 한번에모든회로를연결하지않는다. 전가산기를한개구현할때마다각각의동작을확 인하고모든전가산기가동작할때연결한다. (2) 위회로를이용하여 ( 새로운전가산기를추가하지말고 ) 을계산하시오. 을직접계산할경우감점

16 6 주차 : 1. 실험목적 4-bit 논리연산장치 (ALU: Arithmetic Logic Unit) 에대해이해한다. 2. 실험준비 l ALU 74181의 datasheet을읽고네자리이진수의덧셈을 74181을이용하여어떻게구현할수있는지설명하시오. l 74181을이용하여두개의네자리이진수가같은지판별하는방법을설명하시오. l 이진수의뺄셈을어떻게구현하는지설명하시오. l 응용실험 (1) 의연산을 ALU를이용하여어떻게계산하는지서술하시오. l 응용실험 (2) 에서다루는 minus 1 기능에대해설명하시오. 3. 기기및부품 ALU 실험과정 4.1 기본실험 (1) ALU 를이용하여 (OR 가아닌실제덧셈 ) 을계산하시오. (2) ALU 의 (XOR) 기능을이용하여 과 이같지않음을보이시오. 또, 과 이같음을보이시오. 기능을직접사용하지말고 XOR 기능을이용하시오. 4.2 응용실험 (1) ALU 를이용하여 을계산하시오. ALU 의주어진기능들을확인하시오. (2) ALU 를이용하여 minus 1 기능을테스트해보시오. 두가지이상의입력으로해당기 능이잘동작하는지확인하시오

17 7 주차 : 1. 실험목적 S-R Latch 와 J-K Flip-flop 의동작원리를살펴본다. 2. 실험준비 l S-R Latch와 Latch의동작에대해설명하시오. l Pulse detector와 CLK에대해설명하고응용실험 (2) [ 그림 4] 의원리를설명하시오. l J-K Flip-flop의동작에대해설명하시오. l 와 에대해설명하시오. l J-K Flip-flop 7476, 3-INPUT NAND 7410의 datasheet를확인하시오. l 응용실험 (2) 의 pulse transition detector에서사용하는 NOT gate의개수가늘어나면서발생하는차이에대하여서술하시오. l 실제실험에서스위치입력을한번만주었는데결과가여러번바뀌는현상 ( 채터링 ) 이발생할수있다. 이에대해설명하시오. 3. 기기및부품 J-K Flip-flop 7476, 3-INPUT NAND 7410, NOT 게이트 7404, NAND 게이트 7400, NOR 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과같이 Latch 를결선하고, 아래진리표를완성하시오. [ 그림 1] (2) [ 그림 2] 와같이 Gated S-R Latch 를결선하고, 아래진리표를완성하시오. EN 이 0 인상 태에서 S 와 R 을변화시켜본다

18 [ 그림 2] 위네개의게이트는모두 NAND 게이트이다. EN S R (3) [ 그림 3] 의 J-K Flip-flop 7476 을결선하고, 아래진리표를완성하시오. 와 에 주의하시오. 아래의여섯가지입력을순서대로실행하여본다. 실험은 J, K 를먼저설정한후 CLK 을변화시키는방법으로수행한다. [ 그림 3] CLK J K Datasheet 에서는 를 S(Set) 로 를 C(Clear) 로 CLK 를 CP 로표기하였다. 와 에 1 을입력으로준다. VCC 와 GND 도잊지말고결선한다. 초기 Q 값은 0 으로가정한 다. 4.2 응용실험 (1) [ 그림 3] 의 J-K Flip-flop 에 와 를연결하지말고다시실험하여진리표를작성 하시오. (2) [ 그림 4] 의 Pulse transition detector 를이용하여 [ 그림 5] 와같이 J-K Flip-flop 을직접 구현하고기본실험 (3) 의결과와비교하시오. Pulse transition detector 가제대로작동하지 않는다면 NOT gate 를늘려가며실험한다. [ 그림 4] [ 그림 5]

19 8 주차 : 1. 실험목적 D Latch 와 D Flip-flop 의동작원리를살펴본다. 2. 실험준비 l Gated D Latch의동작에대해설명하시오. l D Flip-flop의동작에대해설명하시오. l D Flip-flop 7474의 datasheet를확인하시오. l T Flip-flop의동작에대해설명하시오. l 응용실험 (1) 과응용실험 (2) 의회로를비교하시오. l D Flip-flop이아닌 J-K Flip-flop으로응용실험 (1) 의회로 [ 그림 3] 과동일한기능의회로를구현하시오. l D Flip-flop이아닌 J-K Flip-flop으로응용실험 (2) 의회로 [ 그림 4] 와동일한기능의회로를구현하시오. 3. 기기및부품 D Flip-flop 7474, NOT 게이트 7404, NAND 게이트 7400, XOR 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과 Gated D Latch 를결선하고, 아래진리표를완성하시오. [ 그림 1] 초기 Q 값은 0 으로가정한다. EN D (2) [ 그림 2] 의 D Flip-flop 7474 를결선하고, 아래진리표를완성하시오. 와 에주 의하시오. 아래의여섯가지입력을순서대로실행해보시오

20 [ 그림 2] CLK D Datasheet 에서는 를 S(Set) 로 를 C(Clear) 로 CLK 를 CP 로표기하였다. VCC 와 GND 도잊지말고결선한다. 실험은 D 값을먼저설정한후 CLK 을변화시키는방법으로 수행한다. 초기 Q 값은 0 으로가정한다. 4.2 응용실험 (1) [ 그림 3] 과같이 D Flip-flop 을이용하여 T Flip-flop 을구현하시오. 실험을통해아래진 리표를작성하시오. [ 그림 3] CLK T 위그림에서 DFF 는 D Flip-flop 을의미한다.,, VCC, GND 도잊지말고결선한 다. 초기 Q 값은 0 으로가정한다. (2) [ 그림 4] 와같이회로를구현하고, 실험을통해아래진리표를작성하시오. [ 그림 4] CLK 위회로는 CLK 이외의입력이없다.,, VCC, GND 도잊지말고결선한다. 초기 Q 값은 0 으로가정한다

21 9 주차 : 1. 실험목적 시프트레지스터 (Shift register) 의동작특성을확인하고기본적인카운터를구현한다. 2. 실험준비 l 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를확인하고 의역할에대하여설명하시오. 왜입력이 A와 B로나누어져있는지설명하시오. l 존슨카운터와링카운터에대하여설명하시오. l 응용실험 (2) 에서초기화하는과정을자세히서술하시오. 3. 기기및부품 8-bit Serial-in Parallel-out Shift Register 74164, D Flip-flop 7474, NOT 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과같이 D Flip-flop을이용하여 4-bit Serial-in Parallel-out 시프트레지스터를구현하시오. 초기 - 값을기록하고이후 CLK를변화시켜가며나온결과를아래표에기록하시오. [ 그림 1] CLK D ,, VCC, GND도잊지말고결선한다. 응용실험 (1), (2) 를이어서진행한다. 초기 Q값들은모두 0으로가정한다. 매실험에서새로운 D값을설정후 CLK를변화시켜야한다. (2) 8-bit Serial-in Parallel-out Shift Register 74164를이용하여 8-bit 시프트레지스터를구현하고동작을확인하시오. CLK를변화시켜가며나온결과를아래표에기록하시오. CLK D 도잊지말고결선한다. 초기 Q 값들은모두 0 으로가정한다. 응용실험 (3) 을이어서진

22 행한다. 4.2 응용실험 (1) [ 그림 2] 와같이 4-bit 존슨카운터를구현하시오. 실험전모든 - 값이 LOW(0) 임을 확인한후진행하시오. [ 그림 2] CLK (2) [ 그림 3] 과같이링카운터를구현하시오. [ 그림 3] CLK 실험전 는 HIGH(1), 그외의 - 은 LOW(0) 임을확인후진행한다. 이러한초기값은 D Flip-flop 의 기능을이용하여구현한다. (3) 8-bit Serial-in Parallel-out Shift Register 를이용하여 8-bit 존슨카운터를구 현하고아래표를완성하시오. CLK 을이용하여초기 Q 값들을모두 LOW(0) 으로만든후진행한다

23 10 주차 : 1. 실험목적 동기식 (synchronous), 비동기식 (asynchronous) 카운터 (counter) 에대하여공부한다. 2. 실험준비 l 비동기식카운터와동기식카운터의작동원리와차이점에대하여서술하시오. l positive edge triggered D Flip-flop인 7474를이용하여 [ 그림 1] 의회로를어떻게결선할지설명하시오. l 응용실험 (1) 이십진카운터로동작하는원리에대하여서술하시오. l 응용실험 (2) 가십진카운터로동작하는원리에대하여서술하시오. 3. 기기및부품 D Flip-flop, J-K Flip-flop, OR 게이트 7432, AND 게이트 7408, NAND 게이트 실험과정 4.1 기본실험 (1) [ 그림 1] 과같이 4-bit 비동기식카운터를구현하시오. [ 그림 1] CLK 실험전모든 - 값이 LOW(0) 로설정후진행한다. 응용실험 (1) 을이어서진행한다. (2) [ 그림 2] 와같이 4-bit 동기식카운터를구현하시오. [ 그림 2] CLK 실험전 - 값을 LOW(0) 로설정후진행한다. 응용실험 (2) 를이어서진행한다

24 4.2 응용실험 (1) [ 그림 3] 과같이 4-bit 비동기식십진카운터를구현하시오. [ 그림 3] CLK 실험전 으로설정후진행한다. (2) [ 그림 4] 과같이 4-bit 동기식십진카운터를구현하시오. [ 그림 4] CLK 실험전 으로설정후진행한다

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다.

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 2. 다음설명중조합논리회로의특징으로옳지않은것은? < 나 > 가입 출력을갖는게이트의집합으로출력값은

More information

Microsoft PowerPoint - 부호기와 복호기.PPT

Microsoft PowerPoint - 부호기와 복호기.PPT 논리회로실험부호기와복호기 2005. 5. 3. 부호기와복호기란? 이론실험내용 개요 Encoder & Decoder 서로다른부호간의변환에사용되는것으로디지털신호를압축하거나전송시깨지지않도록바꾸는등여러가지목적에의해부호화라는장치와부호화되어전송되어온신호를다시원래의디지털신호로복호하는장치들을말한다. CODEC(enCOder DECoder) 이라고도한다. 기타 10진 to

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Microsoft Word - Experiment 5.docx

Microsoft Word - Experiment 5.docx Experiment 5. Use of Generic Array Logic Abstract 본실험에서는임의의복잡한회로를구현하기위한방법으로수업시간에배운 Programmable Logic Device(PLD) 를직접프로그램하여사용해보도록한다. 첫째로, 본실험에서는한번프로그램되면퓨즈를끊는방향으로만수정할수있는 Programmable Array Logic을대신하여, 재생가능한

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

Microsoft PowerPoint - 제10장.ppt [호환 모드]

Microsoft PowerPoint - 제10장.ppt [호환 모드] 10장카운터 비동기 ( 리플 ) 카운터 리플카운터의회로연결및동작 : 모든 JK(T) 입력은 1로연결하여토글모드로동작 클럭펄스는 LSB F/F 에만인가 -클럭펄스의 NGT/PGT 에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

10 장카운터

10 장카운터 0 장카운터 u 리플카운터의회로연결및동작 : 비동기 ( 리플 ) 카운터 모든 JK(T) 입력은 로연결하여토글모드로동작 클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

chap7_ohp.hwp

chap7_ohp.hwp 제 7 장카운터와레지스터 (Counters and Registers) 7-1 비동기( 리플) 카운터리플카운터의회로연결및동작 : 모든 JK 입력은 1로연결하여토글모드로동작클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화모든 clear 입력은동시에연결각

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

학점배분구조표(표 1-20)

학점배분구조표(표 1-20) 1 학년 2 학년 3 학년합 1 2 1 2 1 2 학문의기초 6 6 12 3 3 15 핵문학과예술 3 3 3 심역사와철학 교 양 자연의이해 3 3 3 선택 3 3 3 3 3 3 6 12 교양학점 12 12 24 3 3 6 3 3 6 36 1 학년 2 학년 3 학년합 1 2 1 2 1 2 학문의기초 3 3 6 6 핵 문학과예술 심 역사와철학 3 3 6 6 교

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

목차 7 장조합논리회로 1. 가 / 감산기 2. 비교기 3. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 한국기술교육대학교전기전자통신공학부 1

목차 7 장조합논리회로 1. 가 / 감산기 2. 비교기 3. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 한국기술교육대학교전기전자통신공학부 1 목차 7 장조합논리회로. 가 / 감산기. 비교기. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 조합논리회로해석 v 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 n 개의입력 진조합이가능 입력 조합논리회로 출력 v 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후,

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

강의계획서 (Sylabus) 2013 학년도 2 학기 * 강의과목 교과목명 (CourseName) 한국문화를찾아서 INSEARCHOFKOREANCULTURE 언어 (Language) 영어 과목번호 - 분반 (CourseNo.-Class) 수강대상

강의계획서 (Sylabus) 2013 학년도 2 학기 * 강의과목 교과목명 (CourseName) 한국문화를찾아서 INSEARCHOFKOREANCULTURE 언어 (Language) 영어 과목번호 - 분반 (CourseNo.-Class) 수강대상 강의계획서 (Sylabus) 2013 학년도 2 학기 * 강의과목 교과목명 (CourseName) 한국문화를찾아서 INSEARCHOFKOREANCULTURE 언어 (Language) 영어 과목번호 - 분반 (CourseNo.-Class) 21101412-001 수강대상 (Major) 학점 / 이론 / 실험 (Credits/Theory/Practice) 3/2/1

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

2. 강의방법 (CourseResources) 세미나 Seminar 발표 Presentation 질의응답 Q&A 초청강의 Special Lecture 현장답사 Field Trip 유인물활용 Handouts Audio/Video/TV Team Teaching 토의 / 토

2. 강의방법 (CourseResources) 세미나 Seminar 발표 Presentation 질의응답 Q&A 초청강의 Special Lecture 현장답사 Field Trip 유인물활용 Handouts Audio/Video/TV Team Teaching 토의 / 토 강의계획서 (Sylabus) 2014 학년도 1 학기 * 강의과목 교과목명 (CourseName) 한국문화를찾아서 INSEARCHOFKOREANCULTURE 언어 (Language) 영어 과목번호 분반 (CourseNo.Class) 21101412001 수강대상 (Major) 학점 / 이론 / 실험 (Credits/Theory/Practice) 3/3/0 요일

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

v6.hwp

v6.hwp 93 6 장순차회로모델링 이장에서는앞에서배운여러가지모델링방법에대한지식을바탕으로많이사용되는기본적인순차회로블록들의모델링과순차회로설계방법에대해서배운다. 6. 레지스터 레지스터는 n-bit 데이터를저장하는기억소자이다. 데이터의저장은클럭에동기가되어이루어진다. 그림 6.은전형적인레지스터의블록도와동작표이다. register D D D2 D3 Load Reset Q Q Q2

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M 중앙처리장치 (CPU: Central Process Unit) 1) 제어장치 (Control Unit) 컴퓨터시스템의모든장치들에게동작을지시하고제어하는장치로주기억장치에서읽어온명령어를해독하고해당장치에게제어신호를보낸다. 구성회로 : 부호기, 명령해독기, 번지해독기 구성레지스터 : PC( 프로그램카운터 ), IR( 명령어레지스터 ) 부호기 ( 제어신호발생기 ) 해독한명령어에따라서해당장치로보낼제어신호를생성하는회로

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Computer Architecture CHAPTER 컴퓨터산술과논리연산 제 3 장 컴퓨터산술과논리연산 3.1 ALU의구성요소 3.2 정수의표현 3.3 논리연산 3.4 시프트연산 3.5 정수의산술연산 3.6 부동소수점수의표현 3.7 부동소수점산술연산 3.1 ALU 의구성요소 산술연산장치 : 산술연산들 (+, -,, ) 을수행 논리연산장치 : 논리연산들 (AND,

More information

중간고사

중간고사 중간고사 예제 1 사용자로부터받은두개의숫자 x, y 중에서큰수를찾는알고리즘을의사코드로작성하시오. Step 1: Input x, y Step 2: if (x > y) then MAX

More information

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 CHAPTER 9 둘중하나선택하기 관계연산자 두개의피연산자를비교하는연산자 결과값은참 (1) 아니면거짓 (0) x == y x 와 y 의값이같은지비교한다. 관계연산자 연산자 의미 x == y x와 y가같은가? x!= y

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

핵 1 학년 2 학년 3 학년합계 문학과예술 역사와철학 사회와이념 선택 학점계 학년 2 학년 3 학년합계비고 14 (15) 13 (14) 27 (29) 2

핵 1 학년 2 학년 3 학년합계 문학과예술 역사와철학 사회와이념 선택 학점계 학년 2 학년 3 학년합계비고 14 (15) 13 (14) 27 (29) 2 1 학년 2 학년 3 학년 합계 6 5 11 5 5 16 문학과예술 핵 역사와철학 사회와이념 선택 4 4 1 1 3 3 6 11 학점계 12 12 24 5 1 6 3 3 6 36 ㆍ제 2 외국어이수규정 이수규정 또는 영역에서 과목 학점 이수하고 수량적석과추론 과학적사고와실험 에서 과목 학점 이수해도됨 외국어및고전어 중급이상외국어및고전어과목명 핵 1 학년 2

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770>

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770> P.120 기 출 문 제 9904 9908 0010 0109 1. 정보의단위로가장적은것은? 가. Byte 나. Word 다. Bit 라. Record 0005 0103 0605 2. 4비트로나타낼수있는정보단위는? 가. Nibble 나. Character 다. Full-Word 라. Double-Word 0007 3. 다음정보의단위중하위의개념에서상위의개념으로올바르게나열된것은?

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

Microsoft PowerPoint - 사본 - OAS04-사무자동화 기술(HW-CPU).ppt

Microsoft PowerPoint - 사본 - OAS04-사무자동화 기술(HW-CPU).ppt . 사무자동화 3 대주요기술 - 정보의획득, 처리, 전달 ( 통신 ), 보관 ( 축적, 검색 ) 에관련된기술 ) 하드웨어기술 : 신소재개발, 회로소자의고집적도 ( 컴퓨터 ) 2) 소프트웨어기술 : 음성인식기술, 인공지능기술, 자연언어처리기술 ( 운영체제 ) 3) 통신기술 : 교환기술, 단말기술 - 사무자동화기술의특성 : 지능화, 복합다기능화, 네트워크화, 소형화

More information

3 권 정답

3 권 정답 3 권 정답 엄마표학습생활기록부 엄마가선생님이되어아이의학업성취도를평가해주세요. 021 계획준수 학습기간 월일 ~ 월일 원리이해 시간단축 정확성 종합의견 022 계획준수 학습기간 월일 ~ 월일 원리이해 시간단축 정확성 종합의견 023 계획준수 학습기간 월일 ~ 월일 원리이해 시간단축 정확성 종합의견 024 계획준수 학습기간 월일 ~ 월일 원리이해 시간단속 정확성

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

핵 심 교 양 1 학년 2 학년 3 학년합계 문학과예술 역사와철학 사회와이념 선택 교양학점계 학년 2 학년 3 학년합계비고 14 (15) 13 (

핵 심 교 양 1 학년 2 학년 3 학년합계 문학과예술 역사와철학 사회와이념 선택 교양학점계 학년 2 학년 3 학년합계비고 14 (15) 13 ( 1 학년 2 학년 3 학년 합계 6 5 11 5 5 16 문학과예술 핵 심 교 역사와철학 사회와이념 3 3 3 양 3 3 3 3 3 3 선택 4 4 1 1 3 3 6 11 교양학점계 12 12 24 5 1 6 3 3 6 36 ㆍ제 2 외국어이수규정 이수규정 또는 영역에서 과목 학점 이수하고 수량적석과추론 과학적사고와실험 에서 과목 학점 이수해도됨 외국어및고전어

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

산업공학과 학생을 위한 교양 필수과목 이수규정 1 ( 학번 통합본) 최종 편집일 2018년 12월 25일 서울대학교 공과대학 산업공학과 1 본 문서는 서울대학교 기초교육원 홈페이지에 게시된 대학별 교양 이수규정 을 산업공학과 학생을 위하 여 보기 쉽게

산업공학과 학생을 위한 교양 필수과목 이수규정 1 ( 학번 통합본) 최종 편집일 2018년 12월 25일 서울대학교 공과대학 산업공학과 1 본 문서는 서울대학교 기초교육원 홈페이지에 게시된 대학별 교양 이수규정 을 산업공학과 학생을 위하 여 보기 쉽게 산업공학과 학생을 위한 교양 필수과목 이수규정 1 (2008 2019 학번 통합본) 최종 편집일 2018년 12월 25일 서울대학교 공과대학 산업공학과 1 본 문서는 서울대학교 기초교육원 홈페이지에 게시된 대학별 교양 이수규정 을 산업공학과 학생을 위하 여 보기 쉽게 발췌 편집한 것으로, 내용에 이상이 있을 경우 항상 다음의 원본 페이지를 참조할 것. http:

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로 11 장레지스터 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부 2

More information

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수 2 주차 3 차시수의표현과연산 학습목표 1. 진법과수의구성에대해설명할수있다. 2. 논리회로에대해설명할수있다. 학습내용 1 : 진법과수의구성 - 우리는 10 진수체계안에서살고있다. 10 진수체계는한단위에서 0~9 까지가면한자리왼쪽에 1 을더하여다시 0 이되는방식. 1. 진법과수의구성 * 10진법 : 0~9까지사용하며 10을한자리의기본단위로하는진법 * 2진법 :

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

Computer Architecture

Computer Architecture 정수의산술연산과부동소수점연산 정수의산술연산부동소수점수의표현부동소수점산술연산 이자료는김종현저 - 컴퓨터구조론 ( 생능출판사 ) 의내용을편집한것입니다. 3.5 정수의산술연산 기본적인산술연산들 2 2 3.5.1 덧셈 2 의보수로표현된수들의덧셈방법 두수를더하고, 만약올림수가발생하면버림 3 3 병렬가산기 (parallel adder) 덧셈을수행하는하드웨어모듈 4- 비트병렬가산기와상태비트제어회로

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi 소프트웨어공학 Tutorial #2: StarUML Eun Man Choi emchoi@dgu.ac.kr Contents l StarUML 개요 l StarUML 소개및특징 l 주요기능 l StarUML 화면소개 l StarUML 설치 l StarUML 다운 & 설치하기 l 연습 l 사용사례다이어그램그리기 l 클래스다이어그램그리기 l 순서다이어그램그리기 2

More information

윈도우즈프로그래밍(1)

윈도우즈프로그래밍(1) 제어문 (2) For~Next 문 윈도우즈프로그래밍 (1) ( 신흥대학교컴퓨터정보계열 ) 2/17 Contents 학습목표 프로그램에서주어진특정문장을부분을일정횟수만큼반복해서실행하는문장으로 For~Next 문등의구조를이해하고활용할수있다. 내용 For~Next 문 다중 For 문 3/17 제어문 - FOR 문 반복문 : 프로그램에서주어진특정문장들을일정한횟수만큼반복해서실행하는문장

More information

가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을

가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을 CHAPTER 5 도트매트릭스제어하기 가. 도트매트릭스제어하기 가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을이용한다. 이 IC에는 8개의

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

Introduction to Computer Science

Introduction to Computer Science 컴퓨터공학개론 4 장수체계와데이터표현 학습목표 수체계를이해하는것이왜중요한지배운다. 수의거듭제곱에대해복습한다. 사물을세는데수체계가어떻게사용되는지배운다. 수체계에서자리값의중요성에대해배운다. 수체계에서사용되는여러진수사이의차이점과유사점에대해배운다. 2 학습목표 ( 계속 ) 진수사이에수를변환하는방법에대해배운다. 이진법및십육진법을사용하는수학의계산법을배운다. 컴퓨터에서이진수를사용하여데이터를표현하는방법에대해배운다.

More information

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드]

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드] 컴퓨터구조 강의노트 #8: Chapter 9: 컴퓨터산술 2008. 5. 8. 담당교수 : 조재수 E-mail: jaesoo27@kut.ac.kr 1 컴퓨터시스템구조론 제9장컴퓨터산술 (Computer Arithmetic) 2 1 핵심요점들 컴퓨터산술에있어서두가지주요관심사는수가표현되는방법 (2진수형식 ) 과기본적인산술연산들 ( 더하기, 빼기, 곱하기, 나누기

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

와플-4년-2호-본문-15.ps

와플-4년-2호-본문-15.ps 1 2 1+2 + = = 1 1 1 +2 =(1+2)+& + *=+ = + 8 2 + = = =1 6 6 6 6 6 2 2 1 1 1 + =(1+)+& + *=+ =+1 = 2 6 1 21 1 + = + = = 1 1 1 + 1-1 1 1 + 6 6 0 1 + 1 + = = + 7 7 2 1 2 1 + =(+ )+& + *= + = 2-1 2 +2 9 9 2

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산 (제 2 장. 복소수 기초)

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산      (제 2 장. 복소수 기초) 제 5 강. 복소수연산및 을이용한복소수연산 ( 제 2 장. 복소수기초 ) 한림대학교전자공학과 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 1 배울내용 복소수의기본개념복소수의표현오일러 (Euler) 공식복소수의대수연산 1의 N 승근 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 2 복소수의 4 칙연산 복소수의덧셈과뺄셈에는직각좌표계표현을사용하고,

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 Digital Logic Circuits CHAPTER 3 논리게이트 CONTENTS 3. 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 CHAPTER 3 논리게이트 디지털시스템은트랜지스터 (transistor) 를이용하여구현되는논리게이트들에의해구성된다.

More information