ๆญฏChap1-Chap2.PDF

Similar documents
๋””์ง€ํ„ธ๊ณตํ•™ 5ํŒ 7-8์žฅ

MAX+plus II Getting Started - ๋ฌด์ž‘์ •๋”ฐ๋ผํ•˜๊ธฐ

Microsoft PowerPoint - VHDL01_chapter1.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - hw4.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Orcad Capture 9.x

Libero Overview and Design Flow

Microsoft Word - logic2005.doc

ยบรŽยทรB

Microsoft PowerPoint - VHDL08.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

๋””์ง€ํ„ธ ASIC ์„ค๊ณ„ (1์ฃผ์ฐจ) MAXPLUS II ์†Œ๊ฐœ ๋ฐ ์‚ฌ์šฉ๋ฒ•

Microsoft PowerPoint - VHDL02_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft Word - ์ œ6์žฅ Beyond Simple Logic Gate.doc

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

VHDL ๊ธฐ์ดˆ VHDL ๋‘์›๊ณต๊ณผ๋Œ€ํ•™์ •๋ณดํ†ต์‹ ๋ฏธ๋””์–ด๊ณ„์—ด์ด๋ฌด์˜

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ฮถ ฯ‰ n (rad/sec) 2 ( ฮถ < 1), 1 (ฮถ = 1), ( ) 1

์‚ผ์„ฑ๊ธฐ์ดˆVHDL์‹ค์Šต.PDF

Microsoft PowerPoint - VHDL12_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

์Šฌ๋ผ์ด๋“œ 1

MPLAB C18 C

Mentor_PCB์„ค๊ณ„์ž…๋ฌธ

DE1-SoC Board

10์ฃผ์ฐจ.key

13์ฃผ-14์ฃผproc.PDF

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

T100MD+

C++-ยฟรยบยฎร‡ร˜ยผยณ10ร€รฅ

Microsoft PowerPoint - VHDL06.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

1

. ๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ LU ์™€๋ ˆ์ง€์Šคํ„ฐ ํŒŒ์ผ์˜๊ตฌ์กฐ (2.). ์ง์ ‘๋””์ง€ํ„ธ์ฃผํŒŒ์ˆ˜ํ•ฉ์„ฑ๊ธฐ (FS) ์˜๊ตฌ์กฐ 3. ๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ๋ถ€๋™์†Œ์ˆ˜์ ์—ฐ์‚ฐ๊ธฐ (Floating-Point Unit) ๊ตฌ์กฐ (2) (2.) (2.) 2. ์•”ํ˜ธํ™”๋ฅผ์œ„ํ•œ VLSI ๊ตฌ์กฐ์™€์„ค๊ณ„์˜๊ฐœ์š” (2.) ๋‹ค์Œ์ฐธ

ๆญฏ02-BooleanFunction.PDF

VOL /2 Technical SmartPlant Materials - Document Management SmartPlant Materials์—์„œ ๊ธฐ๋ณธ์ ์ธ Document๋ฅผ ๊ด€๋ฆฌํ•˜๊ณ ์ž ํ•  ๋•Œ ํ•„์š”ํ•œ ์„ธํŒ…, ํŒŒ์ผ ์—…๋กœ๋“œ ๋ฐฉ๋ฒ• ๊ทธ๋ฆฌ๊ณ  Path Type์ธ Ph

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

(2) : :, ฮฑ. ฮฑ (3)., (3). ฮฑ ฮฑ (4) (4). (3). (1) (2) Antoine. (5) (6) 80, ฮฑ =181.08kPa, =47.38kPa.. Figure 1.

Interstage5 SOAP์„œ๋น„์Šค ์„ค์ • ๊ฐ€์ด๋“œ

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

์ œ5์žฅ PLD์˜ ์ดํ•ด์™€ ์‹ค์Šต

PRO1_09E [์ฝ๊ธฐ ์ „์šฉ]

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

MS-SQL SERVER ๋Œ€๋น„ ๊ธฐ๋Šฅ

Microsoft Word - logic2005.doc

ๆญฏ15-ROMPLD.PDF

PowerChute Personal Edition v3.1.0 ์—์ด์ „ํŠธ ์‚ฌ์šฉ ์„ค๋ช…์„œ

Microsoft PowerPoint - CH6.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

์Šฌ๋ผ์ด๋“œ 1

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona

Microsoft Word - SRA-Series Manual.doc

untitled

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

Oracle Apps Day_SEM

1

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

CD-RW_Advanced.PDF

USER GUIDE

SchoolNetํŠœํ† ๋ฆฌ์–ผ.PDF

แ„€แ…ตแ†ทแ„€แ…ตแ„‚แ…กแ†ท_ATDC2016_160620_[แ„แ…ตแ„‚แ…ฉแ„แ…ณ].key

BJFHOMINQJPS.hwp

untitled

Microsoft PowerPoint - polling.pptx

Microsoft PowerPoint - VHDL10_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Modern Javascript

Microsoft PowerPoint - PL_03-04.pptx

<BBEABEF7B5BFC7E22DA5B12E687770>

UML

๋ชฉ์ฐจ BUG ๋ฌธ๋ฒ•์—๋งž์ง€์•Š๋Š”์งˆ์˜๋ฌธ์ˆ˜ํ–‰์‹œ, ์—๋Ÿฌ๋ฉ”์‹œ์ง€์—์งˆ์˜๋ฌธ์˜์ผ๋ถ€๋งŒ๋ณด์—ฌ์ฃผ๋Š”๋ฌธ์ œ๋ฅผ์ˆ˜์ •ํ•ฉ๋‹ˆ๋‹ค... 3 BUG ROUND, TRUNC ํ•จ์ˆ˜์—์„œ DATE ํฌ๋งท IW ๋ฅผ์ถ”๊ฐ€์ง€์›ํ•ฉ๋‹ˆ๋‹ค... 5 BUG ROLLUP/CUBE ์ ˆ์„ํฌํ•จํ•˜๋Š”์งˆ์˜๋Š” SUBQUE

์ˆ˜์—†๊ธฐ๋•Œ๋ฌธ์—๊ฐ„๋‹จํ•œ๋ถ€๋ถ„์œผ๋กœ๋‚˜๋ˆ ์„œ๊ตฌํ˜„ํ•˜๊ณ , ์ด๋ฅผ๋‹ค์‹œํ•ฉ์นจ์œผ๋กœ์จ์ „์ฒด๋ฅผ๊ตฌํ˜„ํ•˜๊ฒŒ ๋œ๋‹ค. ์‹คํ—˜์—์„œ๋Š”์ด๋ฏธ๊ตฌํ˜„๋œ 4-Bit ALU์ธ 74LS181 Chip์„์‚ฌ์šฉํ•œ๋‹ค. ์ด Chip์€ 4-bit์˜ Data input A, B์™€ Selection input 4 bit, Carry In 1

untitled

DIY แ„Žแ…ขแ†บแ„‡แ…ฉแ†บ - LangCon

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

ๆญฏ๊ธฐ๊ตฌํ•™

ํ”„๋กœ๊ทธ๋žจ์„ ํ•™๊ต ๋“ฑ์ง€์—์„œ ์กฐ๊ธˆ์ด๋ผ๋„ ๋ฐฐ์šด ์‚ฌ๋žŒ๋“ค์„ ์œ„ํ•œ ํ”„๋กœ๊ทธ๋ž˜๋ฐ ๋…ธํŠธ ์ž…๋‹ˆ๋‹ค. ์ € ์—ญ์‹œ ๊ทธ ์‚ฌ๋žŒ๋“ค ์ค‘ ํ•˜๋‚˜ ์ž…๋‹ˆ๋‹ค. ์ค‘๊ณ ๋“ฑํ•™๊ต ์‹œ์ ˆ ํ•™๊ต ๋„์„œ๊ด€, ์ƒˆ๋กœ ์ƒ๊ธด ์‹œ๋ฆฝ ๋„์„œ๊ด€ ๋“ฑ์„ ๋‹ค๋‹ˆ๋ฉฐ ์ฑ…์„ ๋ณด ๊ณ  ์ •๋ฆฌํ•˜๋ฉฐ ์–ด๋Š์ •๋„ ๋…ํ•™์œผ๋ฅด ๊ณต๋ถ€ํ•˜๊ธด ํ–ˆ์ง€๋งŒ, ์ž์ฃผ ์•ˆํ•˜๋‹ค ๋ณด๋ฉด ๊ธˆ๋ฐฉ ์žŠ์–ด

Boundary Scan Design(JTAG) JTAG ์˜ํŠน์ง• Boundary Scan์€๊ธฐ๊ธฐ์˜ input๊ณผ Output ํ•€๋“ค์—๋Œ€ํ•ด๊ฐ€๋Šฅํ•˜๊ฒŒํ•ด์ฃผ๋Š”๊ธฐ๋ณธ DFT(Design for Test) ๊ตฌ์กฐ์ด๋‹ค. ๊ทธ๋ฆผ1์—์„œ๋Š” IEEE Std ์—์ƒ์‘ํ•˜๋Š”๊ธฐ๋ณธ Boundary S

PRO1_04E [์ฝ๊ธฐ ์ „์šฉ]

๋ชฉ์ฐจ BUG DEQUEUE ์˜ WAIT TIME ์ด 1 ์ดˆ๋ฏธ๋งŒ์ธ๊ฒฝ์šฐ, ์„ค์ •ํ•œ์‹œ๊ฐ„๋งŒํผ๋Œ€๊ธฐํ•˜์ง€์•Š๋Š”๋ฌธ์ œ๊ฐ€์žˆ์Šต๋‹ˆ๋‹ค... 3 BUG [qp-select-pvo] group by ํ‘œํ˜„์‹์—์žˆ๋Š”์ปฌ๋Ÿผ์„์ฐธ์กฐํ•˜๋Š”์ง‘ํ•ฉ์—ฐ์‚ฐ์ด์กด์žฌํ•˜์ง€์•Š์œผ๋ฉด๊ฒฐ๊ด๊ฐ’์˜ค๋ฅ˜๊ฐ€๋ฐœ์ƒํ• ์ˆ˜์žˆ์Šต๋‹ˆ๋‹ค... 4

ๆญฏIntro_alt_han_s.PDF

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

๊ฐ•์˜10

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Slide 1

ๆญฏ์ฒ˜๋ฆฌ.PDF

ๆญฏDCS.PDF

๋ชฉ์ฐจ ์ œ 1 ์žฅ inexio Touch Driver์†Œ๊ฐœ ์†Œ๊ฐœ ๋ฐ ์ฃผ์š” ๊ธฐ๋Šฅ ์ œํ’ˆ์‚ฌ์–‘... 4 ์ œ 2 ์žฅ ์„ค์น˜ ๋ฐ ์‹คํ–‰ ์„ค์น˜ ์‹œ ์ฃผ์˜์‚ฌํ•ญ ์„ค์น˜ ๊ถŒ๊ณ  ์‚ฌ์–‘ ํ”„๋กœ๊ทธ๋žจ ์„ค์น˜ ํ•˜๋“œ์›จ

Something that can be seen, touched or otherwise sensed

untitled

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

Remote UI Guide

1 Nov-03 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology

MAX+plusโ…ก๋ฅผ ์ด์šฉํ•œ ์„ค๊ณ„

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45

๋ชฉ์ฐจ 1. ์ œํ’ˆ ์†Œ๊ฐœ ํŠน์ง• ๊ฐœ์š” Function table ๊ธฐ๋Šฅ ์†Œ๊ฐœ Copy Compare Copy & Compare Erase

90

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

Microsoft PowerPoint - etri-asic_design_intro

No Slide Title

untitled

10.

Transcription:

ASIC Chip Chip Chip Proto-Type Chip

ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing Verify Fault Simulation Fault Simulation Verified Netlist

ASIC Design Flow(Back-End) Verified Netlist P&R Seed File P&R Cap Net Extract Post-Simulation Merge Phantom DRC, LVS GDS File

1 #include <stdioh> main( ) { int input,ouput; output=!input; } 0 1 1 0 V out V inv V in (a) C (b) (c) (d) (e) (f)

2 main( ) { c=a+b; } C (RT)

1) 2) RTL 3) GATE HDL RTL HDL / HDL GATE (Front end) (Back end) 4) Backannotation (P&R) FPLD

1981 6 1983 7 VHSIC Workshop(Woods Hole, Massachusetts) VHDL Intermetrics, IBM, Texas Instruments (HDL) 1985 8 VHDL Version 72 1986 3 IEEE Computer Society VHDL Analysis & Standardization Group (VASG) VHDL 1986 7 Intermetrics 1987 12 IEEE VHDL IEEE Std 1076-1987 1990 6 VASG VHDL 1993 9 IEEE VHDL IEEE Std 1076-1993

VHDL (Computer Language ), Alphabet, (Comment) --, ( -- ) Line Line ; ; Space( ) Tab, ( : ; :, + ) Data Type User Data Type Synthesizable Coding VHDL Simulation Synthesizable Coding RTL Coding Verilog HDL

VHDL (Design Unit) (Design Library) (Package Declaration) / (Package Body) (Entity Declaration), (Architecture Body) (Configuration Declaration)

(Design Unit) (Primary Unit) Package Delcaration (Secondary Unit) Package Body Entity Declaration Architecture Body / Configuration Declaration

(Design Library) - Working Library : - Resource Library : (Use ) - STDSTANDARD default - STD library : VHDL standard library (STANDARD, TEXTIO) - IEEE library : IEEE standard library ( std_logic_1164, std_logic_arith) - ASIC vendor library : logic gate entity, architecture body - User-defined library : package, entity, architecture body, configuration - WORK library : directory VHDL - LIBRARY library_name ; - (Use Clause) USE library_namepackage_namedeclaration_name ; USE library_namepackage_nameall ; - / (Binding Indication) USE ENTITY library_nameentity_name(architecture_body_name) ; USE CONFIGURATION library_nameconfiguration_name ;

(Package Declaration & Package Body) (Package Declaration) - / / - USE (Package Body) - (Deferred constant) Package - - - STD (VHDL ) STANDARD; TEXTIO - IEEE : STD_LOGIC_1164 : STD_LOGIC_ARITH; STD_LOGIC_UNSIGNED Microwave : WAVES_1164_DECLARATIONS; WAVES_INTERFACE; WAVES_1164_FRAMES; WAVES_1164_UTILITIE; WAVES_OBJECTS VITAL (ASIC ) : VITAL_timing; VITAL_Primitives

Entity Component,, Type Architecture Data Signal, Data Type Entity COMP is port( a, b: in bit; c: out bit ); end COMP; Port : Entity Design Block in, out, inout, buffer, linkage In : Input Out : Output Read( ) Inout: Bidirection ( ) Buffer: Out Read ( ) linkage:

(Mode) IN OUT INOUT : : : BUFFER :, LINKAGE : VHDL

Architecture Body( ) Architecture Entity Port Entity Architecture (1) Behavioral Style Architecture : Function Process if, for, case Design, Sequential Logic Architecture BEHAVE of COMP is begin process(a, b) begin if (a = b) then c <= 1 ; else c <= 0 ; end if ; end process ; end BEHAVE; (2) Dataflow Style Architecture : RTL(Register Transfer Level) Design

Architecture RTL of COMP is begin c <= not (a xor b); end RTL; (3) Structural Style Architecture Netlist Block Component Top Level Architecture STRUCTURE of COMP is signal I : bit ; component XOR2 port (x, y : in bit; z : out bit); end component; component INV port(x : in bit; z : out bit); end component; begin u0 : XOR2 port map (x => a, y => b, z => I); u1 : INV port map (x => I, z => c); end;

Configuration Declaration VHDL File Design Unit( Design Block) Top level Configuration Entity Architecture Component Entity, Architecture Simulator Link Test Bench Coding Architecture arch_add of adder is signal a, b, p : bit ; for u0 : and2 use entity workand(comp) ; begin u0: and2 port map(a, b, p) ;

Reserved Word abs access after alias all allow and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto element else elsif end entity exit file for function generate generic group guarded if impure in initial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package port postponed private procedure process pure range record register reject rem report return rol ror select severity signal shared sla sll sra srl subtype then to transport type unaffected units until use variable wait when while with xnor xor

Figure 1-1 : Example of a symbol used in a schematic (2-input, 2-output)

Figure 1-2 : Example of a schematic for an RSFF

Symbol vs Entities Entity rsff IS PORT ( set, reset : IN BIT; q, qb: INOUT BIT); END rsff; Schematic vs Architectures (Structural Model) ARCHITECTURE netlist OF rsff IS COMPONENT nand2 PORT ( a, b : IN BIT; c : OUT BIT); END COMPONENT; BEGIN U1 : nand2 PORT MAP (set, qb, q); U2 : nand2 PORT MAP (reset, q, qb); END netlist;

Behavioral Descriptions (Execute parallel(concurrently, not serially) ARCHITECTURE behave OF rsff IS BEGIN q <= NOT(qb AND set) AFTER 2 ns; qb <= NOT(q AND reset) AFTER 2 ns; END behave;

Sequential Behavior ARCHITECTURE sequential OF rsff IS BEGIN PROCESS (set, reset) BEGIN IF set = 1 AND reset = 0 THEN q <= 0 AFTER 2 ns; qb <= 1 AFTER 4 ns; ELSIF set = 0 AND reset = 1 THEN q <= 1 AFTER 4 ns; qb <= 0 AFTER 2 ns; ELSIF set = 0 AND reset = 0 THEN q <= 1 AFTER 2 ns; qb <= 1 AFTER 2 ns; END IF; END PROCESS; END sequential;

Configuration Statement (Architecture to use in a given simulation You can create simulatable model) CONFIGURATION rsffcon1 OF rsff IS FOR netlist FOR U1, U2 : nand2 USE ENTITY WORKmynand(version1); END FOR; END FOR; END rsscon1; WORK: library name mynand: entity version1: architecture

4 Behavioral Modeling o Most basic form of behavioral modeling in VHDL is signal assignment statement a <= b; a <= b after 10ns; o AND gate modeling ENTITY and2 IS PORT ( a, b : IN BIT; c : OUT BIT); END and2; ARCHITECTURE and2_behav OF and2 IS BEGIN c <= a AND b AFTER 5 ns; END and2_behav;

o Four-input Multiplexer modeling LIBRARY IEEE; USE IEEEstd_logic_1164ALL; ENTITY mux4 IS PORT ( i0, i1, i2, i3, a, b : IN std_logic; q : OUT std_logic); END mux4; ARCHITECTURE mux4 OF mux4 IS SIGNAL sel : INTEGER; BEGIN WITH sel SELECT q <= i0 AFTER 10 ns WHEN 0, i1 AFTER 10 ns WHEN 1, i2 AFTER 10 ns WHEN 2, i3 AFTER 10 ns WHEN 3, X AFTER 10 ns WHEN OTHERS; sel <= 0 WHEN a = 0 AND b = 0 ELSE 1 WHEN a = 1 AND b = 0 ELSE 2 WHEN a = 0 AND b = 1 ELSE 3 WHEN a = 1 AND b = 1 ELSE 4 ; END mux4;

Transport Versus Inertial Delay o Inertial Delay( )

LIBRARY IEEE; USE IEEEstd_logic_1164ALL; ENTITY buf IS PORT (a : IN std_logic; b : OUT std_logic); END buf; ARCHITECTURE buf OF buf IS BEGIN b <= a AFTER 20 ns; END buf;

o Transport Delay

LIBRARY IEEE; USE IEEEstd_logic_1164ALL; ENTITY delay_line IS PORT (a : IN std_logic; b : OUT std_logic); END delay_line; ARCHITECTURE delay_line OF delay_line IS BEGIN b <= TRANSPORT a AFTER 20 ns; END delay_line ;

Simulation Deltas Delta Delay - Event B <= A; C<= B; D <= C; t t t T n t

ENTITY reg IS PORT (a, clock : IN std_logic; d : OUT std_logic); END reg; ARCHITECTURE test OF reg IS SIGNAL b, c : BIT BEGIN b <= NOT(a); c <= NOT(clock AND b); d <= c AND b; END test ;

Drivers ARCHITECTURE test OF test IS BEGIN a <= b AFTER 10ns; a <= c AFTER 10ns; END test ; o Bad Multiple Driver Model USE WORKstd_logic_1164ALL; ENTITY mux IS PORT (i0, i1, i2, i3, a, b : IN std_logic; q : OUT std_logic); END mux; ARCHITECTURE bad OF mux IS BEGIN q <= i0 WHEN a = 0 AND b = 0 ELSE 0 ; q <= i1 WHEN a = 1 AND b = 0 ELSE 0 ; q <= i2 WHEN a = 0 AND b = 1 ELSE 0 ; q <= i3 WHEN a = 1 AND b = 1 ELSE 0 ; END bad ;

ARCHITECTURE better OF mux IS BEGIN q <= i0 WHEN a = 0 AND b = 0 ELSE i1 WHEN a = 1 AND b = 0 ELSE i2 WHEN a = 0 AND b = 1 ELSE i3 WHEN a = 1 AND b = 1 ELSE X ; END better;

Generics Entity Architecture ENTITY sample IS GENERIC(width : INTEGER := 8; bit_size : INTEGER); PORT(di : IN BIT_VECTOR(width 1 DOWNTO 0); do : OUT BIT_VECTOR(bit_size 1 DOWNTO 0); END sample; width INTGER 8 bit_size Parameter

Block Statement (Block Statement) (Guarded Signal) (BLOCK ) / (Guarded Signal) GUARD Register Bus blk : BLOCK (clk = 1 ) -- guarded condition BEGIN s1 <= GUARDED d WHEN enable=1 ELSE 0 ; -- Guarded Signal Assignment END BLOCK;

VHDL Synthesis Synthesis VHDL, Synthesis VHDL Critical Path, Gate Count Simulation VHDL Source Code Synthesis RTL Code Synthesis Synthesis VHDL Top-Down Test Bench Synthesis Simulation Tool Synthesis, Tool Testable Design Toggle Rate Fault Simulation Synthesis Synthesis

VHDL Coding Synthesis D Flip Flop Entity D_FF is port (b, c : in bit; qout : out bit; end D_FF; architecture arch_d_ff of D_FF is begin process begin wait until clk event and clk = 1 ; qout <= b ; end process ; end arch_d_ff ; b c D clk Q qout

Latch Entity Latch is port (b, c : in bit; and_out : out bit); end Latch; architecture arch_latch of Latch is begin process(b, c) begin if (c = 1 ) then and_out <= b ; end if; end process; end arch_latch; b c D Enable Q And_out

Signal Variable - Simulation Signal Update Delta Time Variable - Variable Simulation Glitch - Variable Simulation VHDL Coding - buffer - if Synthesis if - Latch Synthesis if, case Coding - Reset if Clock - Reset if Clock