Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Similar documents
Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

tut_modelsim(student).hwp

Orcad Capture 9.x

Microsoft Word - logic2005.doc

MAX+plus II Getting Started - 무작정따라하기

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

DE1-SoC Board

歯Chap1-Chap2.PDF

Microsoft PowerPoint - DSD03_verilog3b.pptx

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

슬라이드 1

Libero Overview and Design Flow

Mentor_PCB설계입문

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

삼성기초VHDL실습.PDF

DocsPin_Korean.pages

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

PowerPoint 프레젠테이션

Microsoft PowerPoint - hw4.ppt [호환 모드]

PowerPoint 프레젠테이션

CD-RW_Advanced.PDF

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

세계 비지니스 정보

UNIST_교원 홈페이지 관리자_Manual_V1.0

1

Siemens

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

Microsoft Word - logic2005.doc

디지털공학 5판 7-8장

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

USER GUIDE

WebPACK 및 ModelSim 사용법.hwp

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

Microsoft PowerPoint - Verilog_Summary.ppt

PowerPoint 프레젠테이션

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

Mango220 Android How to compile and Transfer image to Target

歯Intro_alt_han_s.PDF

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

PowerPoint 프레젠테이션

歯03-ICFamily.PDF

PowerPoint 프레젠테이션

untitled

Chapter 1

歯MW-1000AP_Manual_Kor_HJS.PDF

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

PowerPoint 프레젠테이션

v6.hwp

Discrete Mathematics

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

PowerPoint 프레젠테이션

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

Slide 1

untitled

<30352D30312D3120BFB5B9AEB0E8BEE0C0C720C0CCC7D82E687770>

歯mp3사용설명서


Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

27집최종10.22

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

Microsoft PowerPoint 통신 및 압축 명령어.ppt

<BCF6BFE4B0ADB4DC322E687770>

Microsoft PowerPoint - DSD01_verilog1a.pptx

작성자 : 기술지원부 김 삼 수

manual pdfÃÖÁ¾

< BEC7BFECC1F62E687770>

Microsoft PowerPoint - DSD03_verilog3a.pptx

JP작업

[ 컴퓨터시스템 ] 3 주차 1 차시. 디렉토리사이의이동 3 주차 1 차시디렉토리사이의이동 학습목표 1. pwd 명령을사용하여현재디렉토리를확인할수있다. 2. cd 명령을사용하여다른디렉토리로이동할수있다. 3. ls 명령을사용하여디렉토리내의파일목록을옵션에따라다양하게확인할수

Remote UI Guide

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

슬라이드 1

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

슬라이드 1

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

³»Áö¼öÁ¤

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Table of Contents Chapter 1 Introduction Download a Free PDF Reader With Search

PRO1_16E [읽기 전용]

1

Microsoft PowerPoint - verilog문법new.ppt

Sena Technologies, Inc. HelloDevice Super 1.1.0

PD-659_SM(new)

슬라이드 1

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

Adobe Flash 취약점 분석 (CVE )

슬라이드 1

歯세대갈등국민조사97.PDF

1) 인증서만들기 ssl]# cat > // 설명 : 발급받은인증서 / 개인키파일을한파일로저장합니다. ( 저장방법 : cat [ 개인키

Transcription:

IC-CAD CAD 실험 Lecture 2 장재원

주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시 Transistor-level design Netlist FPGA 구현검증 Auto P&R layout Full-custom layout Back-end design Layout verification (LVS, DRC, ERC) Post-simulation Layout 설계 GDS file Fabrication & testing *ASIC(Application Specific Integrated Circuit)

실험준비사항 Lecture 1 REVIEW X-Config 를이용한 X-Win session 추가 Connection Method : rexec Session Name : 임의 Host Name : itsoc.yonsei.ac.kr Login : 자신의해당계정 ( train# ) Command : /usr/openwin/bin/xterm -ls -display $MYIP:0 또는 /usr/openwin/bin/xterm -ls -sb -sl 1000 -fn fixed -title itsoc -fg snow -bg DodgerBlue4 - display $MYIP:0 password : 계정과동일 Flags : Launch New Window 계정비밀번호수정 passwd

HDL 을이용한행위수준설계 HDL 개요 HDL(Hardware Description Language) Verilog HDL : C 언어와유사 VHDL : Ada 언어와유사 스키메틱방식 vs HDL 방식 스키메틱설계 HDL 설계장점 1 회로도입력에따른시간이많이요구 텍스트로입력하고설계툴에의한회로생성 설계시간에대 한단축 2 논리식의조작에대한생각이요구 논리식의조작에대한생각이필요가없음 (1/2 ~ 1/3) 3 회로의기능변경이어려움회로의기능에대한변경이쉬움완성도가향상 4 설계자자신만이회로도의내용을이해 설계자이외에도설계에대한이해가가능 설계결과물에 5 칩제작을하게되는공정에서제공하는대한재이용이특정공정과무관설계라이브러리를이용가능 6 작은크기의회로설계에용이 ( 직관적인설계 ) 작은크기의회로설계시비효율적임 - 7 논리게이트수준설계시 CAD툴의도움불필요 CAD 툴의도움없이는회로설계불가능 -

Verilog HDL 코드예 : 4 비트카운터 module counter(rst, clk, load, enb, din, dout) ; input rst, clk, load, enb; input[3:0] din; output[3:0] dout; reg[3:0] tmp; always@(posedge clk or negedge rst) begin if (~rst) tmp <= 0; else if (load) tmp <= din; else if (~enb) tmp <= tmp + 1; end assign dout = tmp; endmodule Verilog HDL 코드예

Verilog HDL 코드예 : 테스트벤치 Verilog HDL 코드예 `timescale 1 ns/1 ns module tb_cnt ; reg rst, clk, load, enb; reg[3:0] din; wire[3:0] dout; counter cnt(rst, clk, load, enb, din, dout); initial begin clk = 0; forever #50 clk = ~clk; end #5000 load = 1; din = 4'b1101; #500 load = 0; #500 enb = 1; #500 enb = 0; end endmodule initial begin rst = 0; load = 0; din = 4'b0; 4b0; enb = 1; #1001 rst = 1; #1000 enb = 0;

HDL 시뮬레이터 (Modelsim) 사용 Modelsim 명령어 vlib : 라이브러리디렉토리의생성 vlib 경로 / 작업디렉토리명 vmap : 작업디렉토리에대한환경설정 vmap 라이브러리명경로 / 작업디렉토리명 vlog : Verilog HDL 파일의컴파일 vlog [-work 라이브러리명 ] 경로 /verilog 파일 vsim : HDL 시뮬레이터의명령수행 옵션 : -c, -do do 파일, -L 라이브러리명, -sdf{min typ max}, -wlf 경로 /wave 파일, -view 경로 /wave 파일 vsim c do sim.do

Verilog HDL 참고문헌 교재의부록 B,C 부분 Verilog HDL - A Guide to Digital Design and Synthesis, Second Edition 저자 : Palnitkar 출판사 : Prentice Hall 한글판 : Verilog HDL - 디지털설계와합성의길잡이 ( 홍릉과학출판사 )

배치파일 (do 파일 ) 에사용하는명령어 Modelsim 명령어 log[-r] * : 시뮬레이션결과파형에지정된신호의파형을실어주는역할 [-r] 옵션을사용하면테스트벤치 & 내부모듈의신호까지포함됨 log 인스턴스명 / 신호명 log /T1/S1/test run [ 시뮬레이션시간 ] : 기록한시간동안시뮬레이션실행 add wave * : 테스트벤치에있는모든신호의파형을보여주는명령 add wave /T1/* quit : 시뮬레이터의종료

실험내용 1 : 작업디렉토리구성 Page 23~25

실험내용 1 : 작업디렉토리구성 cd : change directory mkdir : make directory. : current directory.. : parent directory / : directory separation ~ : root directory Example mkdir hdl_designdesign mkdir hdl_design/batch mkdir hdl_design/source cd hdl_design/batch cd../../hdl_design/source

실험내용 2 : Verilog HDL 을이용한설계 Page 24~30 mkdir hdl_design mkdir hdl_design/batch mkdir hdl_design/batch/sim mkdir hdl_design/batch/syn mkdir hdl_design/source mkdir hdl_design/results mkdir hdl_design/results/sim mkdir hdl_design/results/syn mkdir lib mkdir lib/syn_work

실험내용 2 : Verilog HDL 을이용한설계 Page 24~30 cd lib vlib sim_work vlib altera cd ~/hdl_design/batch/sim vmap work ~/lib/sim_work vmap altera ~/lib/altera cd ~/hdl_design/source vi counter.v 카운터파일작성 vi tb_cnt.v 테스트벤치파일작성

실험내용 3 : 시뮬레이션및결과파형저장, 결과파형보기 Page 24~30 cd ~/hdl_design/batch/sim vi vlog.do vlog.do 파일작성 (train 이후숫자에유의 ) vi wav.do wav.do 파일작성 (train 이후숫자에유의 ) vsim c do vlog.do vsim do wav.do

리포트및공지사항 리포트제출 2 장의실험과제및프로젝트내용 코드내용포함시킬것 ( 반드시주석을추가할것 ) 실험내용에대한고찰부분작성할것코드를포함한작업파일은하나의압축파일로 e-mail 제출제출기한 리포트 : 다음수업시간, 수업시작전에조교에게제출작업코드 : 수업시작전까지 조교 ( 장재원 ) e-mail 주소 jaera82@soc.yonsei.ac.kr